登录 注册 返回主站
F10资料 推荐产品 炒股必读

2022年2月国内厂商错位发展,共同受益国产化率提

  • 作者:和螺蛳
  • 2023-06-10 21:05:47
  • 分享:
2022年2月

国内厂商错位发展,共同受益国产化率提升

全球薄膜沉积设备市场由应用材料(AMAT)、泛林半导体(Lam Research)、东京电子(TEL) 和先晶半导体(ASM)等国际巨头公司垄断。
薄膜沉积设备国产化率估计仅5.5%(按设备数量口径)。近年来我国半导体设备国产化速度快速 增长,但总体看我国半导体行业制造仍需大量进口设备支持,国产化依然处于较低水平。我们统 计了 2020 年 1 月 1 日以来国内部分主要晶圆制造产线的薄膜沉积设备招标情况,6 家厂商共招标 薄膜沉积设备 1060 台(仅 PVD 和 CVD 类设备),国内厂商中标 58 台,其中拓荆科技中标 40 台(主要为 PECVD 设备),国内市占率为 3.8%;北方华创中标 18 台(主要为 PVD 设备),国 内市占率 1.7%。总体来看,目前国内薄膜沉积设备国产化率估计仅 5.5%(按设备数量口径)
国内厂商尚不存在直接竞争,共同受益国产化率提升
1) 拓荆科技引领 PECVD 国产化拓荆科技具备 CVD、ALD 供应能力,CVD 产品包括 PECVD 和 SACVD,其中主力产品为 PECVD,尽管
北方华创也有 PECVD 产品,但目前主要应用于光伏/LED/功率器件/MEMS 领域。
拓荆科技也是国内唯一一家产业化生产 SACVD 设备的厂 商,而北方华创 CVD 产品除 PECVD 外主要为 LPCVD、APCVD。
ALD 产品方面,拓荆科技与北方华创产品应用工艺有所差异(拓荆科技 ALD 应用于 SADP 工艺、STI 表面薄膜;北方华创 ALD 应用于 HKMG 工艺)。
由此可见,拓荆科技与北方华创尚不存在直接竞争。
2) 北方华创 PVD 优势显著北方华创薄膜沉积产品线较为全面,具备 PVD、CVD、ALD 产品 供应能力,在 PVD 设备领域竞争优势显著,国内产线导入的国产 PVD 设备基本均出自北方 华创。拓荆科技、中微公司尚不具备 PVD 产品供应能力。
————2017年12月5日,12英寸原子层沉积(Atomic Layer Deposition,ALD)设备进驻上海集成电路研发中心。
3) 中微公司主要为 MOCVD 设备,为北方华创、拓荆科技未产业化涉足的领域,产品应用于 LED、miniLED 化合物半导体,主要客户为乾照光电、三安光电等 LED 生产厂商,中微在 LED 及 miniLED 的 MOCVD 领域占据国内大多数份额。
中微是拓荆的第三大股东,持有拓荆 11%股权,中微也已组建团队开发 LPCVD 和 EPI 设备。
——
2020年12月,黎微明博士所在的公司——江苏微导纳米科技股份有限公司(下称“微导纳米”)的首套国产高电介质(high-k)原子层沉积设备发货到国内晶圆厂,并通过28nm工艺测试和器件验证。逻辑芯片制造采用high-k技术,尤其是针对具有三维特点的FINFET结构,需要使用具有低蒸汽压的固态前驱体进行薄膜沉积,在保障精确材料性能的基础上对工艺携带颗粒也有极高的要求,因此对设备和工艺提出了极大的技术挑战,目前仅有ASM可为全球市场提供该类量产设备和技术。微导纳米ALD设备和核心技术具有突出的技术先进性,总体性能和关键性能参数已达到国际同类设备水平,打破了国外半导体 ALD设备长期垄断的局面。

温馨提醒:用户在赢家聊吧发表的所有资料、言论等仅代表个人观点,与本网站立场无关,不对您构成任何投资建议。本文中出现任何联系方式与本站无关,谨防个人信息,财产资金安全。
点赞12
发表评论
输入昵称或选择经常@的人
聊吧群聊

添加群

请输入验证信息:

你的加群请求已发送,请等候群主/管理员验证。

时价预警 查看详情>
  • 江恩支撑:23.5
  • 江恩阻力:26.44
  • 时间窗口:2024-07-01

数据来自赢家江恩软件>>

本吧详情
吧 主:

虚位以待

副吧主:

暂无

会 员:

0人关注了该股票

功 能:
知识问答 查看详情>