登录 注册 返回主站
F10资料 推荐产品 炒股必读

拓荆科技研究报告中国半导体CVD设备龙头国产化持续放量

  • 作者:小亮五
  • 2022-10-21 11:19:54
  • 分享:

(报告出品方光大证券)

1、拓荆科技中国半导体CVD设备龙头

1.1、公司是国内唯一产业化应用集成电路PECVD和SACVD设备及领先的ALD设备厂商

拓荆科技股份有限公司(以下简称拓荆科技)成立于 2010 年,2022 年 4 月 20 日正式登陆科创板,主要从事高端半导体专用设备的研发、生产、销售和技术服 务,主要产品包括等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD) 设备和次常压化学气相沉积(SACVD)设备三个产品系列,已广泛应用于国内 晶圆厂 14nm 及以上集成电路制造产线,并已展开 10nm 及以下产品验证测试。

公司产品已广泛应用于中芯国际、华虹集团、长江存储、长鑫存储、厦门联芯、 燕东微电子等国内主流晶圆厂产线,打破国际厂商对国内市场的垄断,与国际寡 头直接竞争,在研产品已发往某国际领先晶圆厂参与其先进制程工艺研发。 公司的产品已适配国内最先进的 28/14nm 逻辑芯片、19/17nm DRAM 芯片和 64/128 层 3D NAND FLASH 晶圆制造产线。其中,PECVD 设备已全面覆盖逻辑 电路、DRAM 存储、FLASH 闪存集成电路制造各技术节点产线多种通用介质材 料薄膜沉积工序,并研发了 LokⅠ、LokⅡ、ACHM、ADCⅠ等先进介质材料工 艺,拓宽公司 PECVD 产品在晶圆制造产线薄膜沉积工序的应用。

1.2、公司三大设备产品卡位优势明显

公司主要产品半导体薄膜沉积设备包括 PECVD 设备、 ALD 设备及 SACVD 设 备三个系列。薄膜沉积设备作为集成电路晶圆制造的核心设备,沉积的薄膜是芯 片电路中的功能材料层,是芯片制造的核心工艺环节。 薄膜沉积设备设计制造技术难度大,产业化验证周期长。由于薄膜是芯片结构的 功能材料层,在芯片完成制造、封测等工序后会留存在芯片中,薄膜的技术参数 直接影响芯片性能。生产中不仅需要在成膜后检测薄膜厚度、均匀性、光学系数、 机械应力及颗粒度等性能指标,还需要在完成晶圆生产流程及芯片封装后,对最 终芯片产品进行可靠性和生命周期测试,以衡量薄膜沉积设备是否最终满足技术 标准。因此,晶圆厂对薄膜沉积设备所需要的验证时间较长。

随着集成电路制造不断向更先进工艺发展,单位面积集成的电路规模不断扩大, 芯片内部立体结构日趋复杂,所需要的薄膜层数越来越多,对绝缘介质薄膜、导 电金属薄膜的材料种类和性能参数不断提出新的要求。在 90nm CMOS 工艺, 大约需要 40 道薄膜沉积工序。在 3nmFinFET 工艺产线,超过 100 道薄膜沉积 工序,涉及的薄膜材料由 6 种增加到近 20 种,对于薄膜颗粒的要求也由微米级 提高到纳米级。薄膜设备的发展支撑了集成电路制造工艺向更小制程发展。公司 产品可以满足下游集成电路制造客户产线对于不同材料、不同芯片结构薄膜沉积 工序的设备需求。

1.2.1、PECVD是核心产品,国内唯一实现集成电路产业化应用

PECVD 设备系公司核心产品,是芯片制造的核心设备之一。主要功能是在将硅 片控制到预定温度后,使用射频电磁波作为能量源在硅片上方形成低温等离子 体,通入适当的化学气体,在等离子体的激活下,经一系列化学反应在硅片表面 形成固态薄膜。相比传统的 CVD 设备,PECVD 设备在相对较低的反应温度下形 成高致密度、高性能薄膜,不破坏已有薄膜和已形成的底层电路,实现更快的薄 膜沉积速度,是芯片制造薄膜沉积工艺中运用最广泛的设备种类。

公司是国内唯一一家产业化应用的集成电路 PECVD 设备厂商,已配适 180-14nm 逻辑芯片、19/17nmDRAM 及 64/128 层 FLASH 制造工艺需求,产 品能够兼容 SiO2、SiN、SiON、BPSG、PSG、TEOS、LokⅠ、LokⅡ、ACHM、 ADCⅠ等多种反应材料。公司已于 2018 年向某国际领先晶圆厂发货一台 PECVD设备用于其先进逻辑芯片制造研发产线,2020 年该厂向公司增订了一台 PECVD 设备用于其上述先进制程试产线。

1.2.2、PEALD成功量产,ThermalALD正在研发

ALD 设备是一种可以将反应材料以单原子膜形式通过循环反应逐层沉积在基片 表面,形成对复杂形貌的基底表面全覆盖成膜的专用设备。由于 ALD 设备可以 实现高深宽比、极窄沟槽开口的优异台阶覆盖率及精确薄膜厚度控制,实现了芯 片制造工艺中关键尺寸的精度控制,在结构复杂、薄膜厚度要求精准的先进逻辑 芯片、DRAM 和 3DNAND 制造中,ALD 是必不可少的核心设备之一。ALD 设备 主要分为 PE-ALD 和 Thermal-ALD。 拓荆科技是国内领先的集成电路 ALD 设备厂商。公司的等离子体增强原子层沉 积设备(PE-ALD),在公司 PECVD 设备核心技术的基础上,根据 ALD 反应原 理,结合理论分析及仿真计算,对反应腔内的气路、关键件、喷淋头等进行创新 设计公司的 ALD 设备可以沉积 SiO2 和 SiN 材料薄膜,目前已适配 55-14nm 逻 辑芯片制造工艺需求。

在 PE-ALD 设备成功量产基础上,为满足 28nm 以下芯片制造所需的 Al2O3、 AlN 等金属化合物薄膜的工艺需要,公司正在研发 ThermalALD 设备。

1.2.3、SACVD国内唯一实现集成电路产业化应用

SACVD设备的主要功能是在次常压环境下,通过对反应腔内气体压力和温度的 精确控制,将气相化学反应材料在晶圆表面沉积薄膜。SACVD 设备的高压环境 可以减小气相化学反应材料的分子自由程,通过臭氧在高温下产生高活性的氧自 由基,增加分子之间的碰撞,实现优越的填孔(Gapfill)能力,是集成电路制造 的重要设备之一。 公司是国内唯一一家产业化应用的集成电路 SACVD 设备厂商,公司的 SACVD 设备可以沉积 BPSG、SAF 材料薄膜,适配 12 英寸 40/28nm 以及 8 英寸 90nm 以上的逻辑芯片制造工艺需求。

1.3、公司近三年营收高速增长,毛利率逐年上升

公司 2019-2021 年营收复合增长率为 73.7%,实现高速增长。公司 2021 年的 营业总收入为 7.58 亿元,同比增长 73.99%;归母净利润为 0.68 亿元,同比扭 亏为盈,其中非经常性损益为 1.5 亿元,占比较高;扣非归母净利润为-0.82 亿 元,同比亏损有所扩大,主要是研发费用率有所上升。公司 2022H1 营业收入为 5.23 亿元,同比增长 364.87%;归母净利润为 1.08 亿元,扣非归母净利润为 0.49 亿元。 公司 2018-2022H1 毛利率和净利率整体呈逐年上升趋势。公司 2018-2022H1 的毛利率分别为
31.67%/31.85%/34.06%/44.01%/46.76%,净利率分别为 -146.12%/-7.71%/-2.69%/8.83%/20.37%,毛利率和净利率整体呈上升趋势。

分产品来看,2021 年 PECVD 设备收入在总营收中的占比近 90%,是公司收入 的主要来源。公司 2021 年 PECVD 设备收入为 6.75 亿元,在总营收中的占比为 89.1%;SACVD 设备收入为 0.41 亿元,在总营收中的占比为 5.4%;ALD 设备 收入为 0.29 亿元,在总营收中的占比为 3.8%。2021 年,PECVD 设备的毛利率 为 42.6%,2018-2021 年逐年上升;SACVD 设备和 ALD 设备的毛利率分别为 63.0%和 44.2%。

2、半导体设备国产化不断加速,国产设备厂商持续受益

2.1、半导体设备是未来的长周期优质赛道

半导体设备行业景气度与国产化进度、晶圆厂扩张以及技术迭代息息相关,国产 化进度和晶圆厂扩张决定着当前景气周期内对国产半导体设备的需求,而技术创 新带来的技术迭代则不断驱动着半导体设备的需求长周期持续向上,国产化是本 轮半导体设备景气度高企的核心驱动力。 半导体设备与新建产线关联度较高,具备周期性强、空间大等特点,目前大力提 高中国大陆半导体设备供应商的竞争力,对保障中国半导体产业链安全具有显著 的溢出效益,有助于大大降低美国等出口管制所带来的风险。2021 年很多国产 半导体设备实现了 0-1 的跨越,2022 年将逐步进入到 1-N 的放量过程,预计国 产化的速度将不断加快,半导体设备是未来的长周期优质赛道。

2.1.1、半导体设备是国产化的核心领域

半导体是许多工业整机设备的核心,普遍应用于计算机、消费类电子、⽹络通、 汽⻋电子等核心领域,半导体主要由四个部分组成集成电路、光电器件、分立 器件和传感器,其中集成电路在全球总销售额中的占比高达 80%以上,是半导 体产业链的核心领域。

集成电路产业链通常以芯片设计、制造和封装测试为三大环节。设备材料与制造 和封装测试联系最为紧密,对应分为前道设备和后道设备,晶圆材料和封装材料。 设备材料在高端领域处于美欧日垄断状态,“卡脖子”问题突出,是当前及未来 国产化重点突破的领域。

2.1.2、中国大陆半导体设备市场份额占比不断提升

根据国际半导体产业协会(SEMI)2022 年 7 月 12 日公布的《年中总半导体设 备预测报告》,2021 年全球半导体制造设备销售总额达到 1025 亿美元的新高, 比 2020 年的 712 亿美元的销售历史记录增长 44.0%,预计 2022 年全球半导体 制造设备市场销售总额将扩大到 1175.2 亿美元,同比增长 14.7%,到 2023 年 全球半导体制造设备市场销售总额预计将上涨 2.8%至 1208 亿美元。

2021 年中国大陆半导体设备销售额为 296.2 亿美元,同比增长58.2%,占全球 半导体设备市场的 28.9%,第二次成为全球最大的半导体设备市场。我们预计 中国大陆半导体设备销售额全球占比有望从 2021 年的 28.9%提升到 2023 年的 32.5%,呈逐年上升的趋势。由此测算,2022 年中国大陆半导体设备销售额预 计将达到 364.3 亿美元,同比增长 23.0%,到 2023 年中国大陆半导体设备销售 额有望增长 7.8%至 392.6 亿美元。

中国大陆主要晶圆厂产能持续扩张。半导体设备收入的增长与晶圆厂扩张息息相 关,晶圆厂扩产的资本支出中的 70-80%左右将用于购买半导体设备,截止 2021 年底,国内主要晶圆厂扩产计划中芯国际总扩产计划 24 万片/月,其中中芯京 城 10 万片/月,中芯深圳 4 万片/月,中芯临港 10 万片/月;华虹半导体计划扩 产 4 万片/月;长江存储总产能规划,一期 10 万片/月,二期 20 万片/月,总产 能目标 30 万片/月;合肥长鑫 2021 年扩产 6 万片/月,2022 年有望达到 12 万 片/月,总产能目标 30 万片/月;粤芯半导体一期二期产能 4 万年/月,总产能目 标 12 万年/月;上海积塔半导体一期 8 英寸产能规划 4.5 万片/月,最终 8 万片/ 月,二期 12 英寸产能规划 5 万片/月。

2.2、薄膜沉积设备规模占半导体设备总投资的25%

2.2.1、薄膜沉积设备是半导体三大主设备之一

薄膜沉积设备主要负责各个步骤当中的介质层与金属层的沉积,包括 CVD(化 学气相沉积)设备、PVD(物理气相沉积)设备/电镀设备和 ALD(原子层沉积) 设备,与光刻机、刻蚀机共同构成芯片制造三大主设备,沉积薄膜材料可以是二 氧化硅、氮化硅、多晶硅等非金属以及铜等金属。2020 年全球半导设备产业结构中,前道设备在总销售额中的占比约 85%,后端 测试设备占比约 9%,后道封装设备占比约 6%。薄膜沉积设备作为晶圆制造的 三大主设备之一,其投资规模占晶圆制造设备总投资的 25%。

(一) 化学气相沉积(CVD)设备。化学气相沉积是通过化学反应的方式,利用加热、等离子或光辐射等各种能源, 在反应器内使气态或蒸汽状态的化学物质在气相或气固界面上经化学反应形成 固态沉积物的技术,是一种通过气体混合的化学反应在硅片表面沉积薄膜的工 艺,可应用于绝缘薄膜、硬掩模层以及金属膜层的沉积。

CVD 设备由气相反应室(进气方向与样品表面成水平或垂直),能量系统(加 热或射频),反应气体控制系统,真空系统及废气处理装置等组成。硅片的表面 及邻近区域被加热来向反应系统提供附加的能量。常用 CVD 设备包括 PECVD、 SACVD、APCVD、LPCVD 等,适用于不同工艺节点对膜质量、厚度以及孔隙沟 槽填充能力等的不同要求。

等离子增强化学汽相淀积(PECVD) 等离子体增强化学气相淀积(PECVD)是指采用高频等离子体驱动的一种气相 淀积技术,是一种射频辉光放电的物理过程和化学反应相结合的技术,是一种在 100-400℃之间进行的低温沉积方法。该气相淀积的方法可以在非常低的衬底温 度下淀积薄膜,例如在铝(Al)上淀积 SiO2。工艺上等离子体增强化学气相淀 积主要用于淀积绝缘层。常见的沉积薄膜氧化硅薄膜、氮化硅薄膜、非晶硅薄 膜、氧氮化硅(SixOyNz)薄膜。 PECVD 设备主要分为直接式和间接式两种 直接式基片位于一个电极上,直接接触等离子体(低频放电 10-500kHz 或高 频 13.56MHz),目前市场上主要使用的是 40kHz 的频率。 间接式基片不接触激发电极。

高密度等离子体化学气相淀积(HDP CVD) 高密度等离子体化学气相沉积(HDP CVD)是 PECVD 的其中一种,它使用更高 密度的等离子体,使晶圆能够在沉积室中以更低的温度(80-150℃之间)进行 反应。该工艺可以生成具有高深宽比间隙填充能力的薄膜。常见的沉积薄膜二 氧化硅(SiO2)薄膜、氮化硅(Si3N4 薄膜)、碳化硅(SiC)薄膜。 次大气压化学气相沉积(SACVD) 次大气压化学气相沉积(SACVD)与其他方法不同,它在标准室压下进行,并 使用臭氧(O3)来帮助催化反应。沉积过程在高于 LPCVD 但低于 APCVD 的压 力下进行,压力介于 13,300- 80,000Pa 之间。SACVD 薄膜有较高的沉积速率, 且随着温度的升高而提高,但当达到约 490°C 时,沉积速率开始降低。常见的 沉积薄膜BPSG、PSG、TEOS。

常压化学汽相淀积(APCVD) 常压化学气相淀积(APCVD)是指在大气压下进行的一种化学气相淀积的方法, 这是化学气相淀积最初所采用的方法。这种工艺所需的系统简单,反应速度快, 并且其淀积速率可超过 1000 埃/min,特别适于介质淀积,但是它的缺点是均匀性较差,所以,APCVD 一般用在厚的介质淀积。常见的沉积薄膜掺杂和未掺 杂的氧化硅薄膜、氮化硅薄膜,也用于退火工艺。

低压化学汽相淀积(LPCVD) 随着半导体工艺特征尺寸的减小,对薄膜的均匀性要求及膜厚的误差要求不断提 高,出现了低压化学气相淀积(LPCVD)。低压化学气相淀积是指系统工作在较 低的压强下的一种化学气相淀积的方法。这种技术淀积出来的薄膜均匀性和台阶 覆盖性较好,且具有较低的淀积速率和较高的淀积温度。LPCVD 采用最高温度 来沉积薄膜,通常在 600℃以上,压力在 10-1,000Pa 之间,温度决定薄膜的厚 度和纯度,温度越高,薄膜越厚,纯度越高。LPCVD 技术不仅用于制备硅外延 层,还广泛用于各种无定形钝化膜及多晶硅薄膜的淀积,是一种重要的薄膜淀积 技术。常见的沉积薄膜多晶硅薄膜、掺杂&未掺杂的氧化物薄膜、氮化物薄膜。

(二) 原子层沉积设备(ALD) 原子层沉积可以将物质以单原子膜形式一层一层地镀在基底表面的方法。从原理 上说,ALD 是通过化学反应得到生成物,但在沉积反应原理、沉积反应条件的要求和沉积层的质量上都与传统的 CVD 不同。相对于传统的沉积工艺而言,ALD 工艺具有自限制生长的特点,可精确控制薄膜的厚度,制备的薄膜具有均匀的厚 度和优异的一致性,台阶覆盖率高,特别适合深槽结构中的薄膜生长。 ALD 设备沉积的薄膜具有非常精确的膜厚控制和非常优越的台阶覆盖率,在 28nm 以下关键尺寸缩小的双曝光工艺方面取得了越来越广泛的应用。目前, 28nm 以下先进制程的 FinFET 制造工艺中,难点在于形成 Fin 的形状,Fin 的 有源区并不是通过光刻直接形成的,而是通过自对准双重成像技术(SADP, Self-Aligned Double Patterning)工艺形成。

(三) 物理气相沉积设备(PVD) 物理气相沉积(PVD),是利用蒸发或溅射,实现原子从源物质到沉底材料表面 的物质转移,沉积形成薄膜。PVD 具有成膜速率高、镀膜厚度及均匀性可控、 薄膜致密性好、粘结力强及纯净度高等优点。PVD 可以分为真空蒸镀和溅射, 发展初期以真空蒸镀镀膜为主,特点是工艺简单、操作容易、纯度较高,缺点是 难以蒸发某些金属和氧化物。由于溅射设备制备的薄膜更加均匀、致密,对衬底 附着性强,纯度更高,溅射设备取代了蒸镀设备。

(四) 分子束外延设备(MBE) 分子束外延(MBE)是一种化合物半导体多层薄膜的物理淀积技术,其基本原理 是在超高真空条件下,将组成薄膜的各元素在各自的分子束炉中加热成定向分子 束入射到加热的衬底上进行薄膜生长。由于每一台分子束炉的炉口装有一个能快 速开闭的快门,因而生长时能快速改变所生长材料的成分及掺杂种类。MBE 技 术是在 20 世纪 60 年代末由美国贝尔实验室首先发展起来的。MBE 技术具有生 长速度较慢且可控、表面及界面平整、材料组成及掺杂种类变化迅速、生长衬底 温度低等特点,因而被广泛用来生长组分及掺杂分布陡峻的突变异质结和复杂的 多层结构。

(五) 电镀设备(ECP/ECD) 半导体电镀是指在芯片制造过程中,将电镀液中的金属离子电镀到晶圆表面形成 金属互连。随着芯片制造行业技术的发展,芯片内的互连线开始从传统的铝材料 转向铜材料,市场对半导体镀铜设备越来越大。目前半导体电镀应用邻域广泛, 包括铜线的沉积、镍、金和锡银合金等金属的沉积,但主要还是金属铜的沉积。 铜导线可以降低互联阻抗,降低器件的功耗和成本,提高芯片的速度、集成度、 器件密度等。 半导体电镀设备在晶圆上沉积一层致密、无孔洞、无缝隙等其他缺陷,并且分布 均匀的铜,再配以气相沉积设备、刻蚀设备、清洗设备等,完成铜互连线工艺。 半导体电镀设备主要分为前道铜互连电镀设备和后道先进封装电镀设备。

2.2.2、薄膜沉积设备国产化提升空间较大

根据 Maximize Market Research 数据统计,全球半导体薄膜沉积市场 2020 年 市场空间约为 172 亿美元,预计到 2025 年将达到 340 亿美元,期间以年复合 14.6%的速度增长。其中市场将以存储、AMOLED 显示屏以及太阳能电站等新 兴应用需求的增加为驱动薄膜沉积市场增长的核心动力。在硅片衬底上沉积薄膜有多种技术,按工艺主要分为化学工艺和物理工艺。化学 工艺包括化学气相沉积(CVD)和电化学沉积(ECP/ECD);其中 CVD 占据大部 分薄膜沉积市场。物理工艺主要为物理气相沉积(PVD),其中溅射工艺制备的 薄膜更加均匀、致密,对衬底附着性强,纯度更高,逐渐发展为主流,需求占比 近 19%。

随着产线的逐渐升级,晶圆制造的复杂度和工序量都大大提升,在实现相同芯片 制造产能的情况下,晶圆厂对薄膜沉积设备的需求量和性能也将相应增加。以中 芯国际的不同制程逻辑芯片产线为例,从 180nm 8 寸晶圆产线到 90nm 12 寸晶 圆产线,产线对 CVD 设备的需求量从月产能每万片 9.9 台增至 42 台,PVD 设备 的需求量从月产能每万片 4.8 台增至 24 台,需求量提升了 4-5 倍。

从各细分市场来看,在 CVD 设备市场中,应用材料全球销售额占比约 30%,加 上泛林半导体的 21%和 TEL 的 19%,三大厂商占据了全球 70%的市场份额;在 ALD 设备市场中,ALD 设备龙头 TEL 和 ASM 分别占据了 31%和 29%的市场份 额,剩下 40%的份额由其他厂商占据;在 PVD 设备市场中,应用材料则基本垄 断了 PVD 市场,占 85%的比重,处于绝对龙头地位。

3、公司具备领先的产业化技术优势,研发实力突出

3.1、公司产业化技术优势明显

拓荆科技是国内半导体设备行业重要的领军企业之一,公司三次(2016年、2017年、2019年)获得中国半导体行业协会颁发的“中国半导体设备五强企业”称号。公司专注的薄膜沉积设备领域系半导体晶圆制造三大核心设备种类之一,是国内唯一一家产业化应用的集成电路PECVD、SACVD设备厂商,产品已成功应用于中芯国际、华虹集团、长江存储、厦门联芯、燕东微电子等行业领先集成电路制造企业产线,产品技术参数已达到国际同类设备水平。

公司自成立以来,始终专注于半导体薄膜沉积设备的研发,先后承担“90-65nm 等离子体增强化学气相沉积设备研发与应用”、“1xnm 3D NAND PECVD 研发 及产业化”等四项国家重大科技专项/课题。公司的先进薄膜工艺设备设计技术、 反应模块架构布局技术、半导体制造系统高产能平台技术、等离子体稳定控制技 术、反应腔腔内关键件设计技术、半导体沉积设备气体输运控制系统、气体高速 转换系统设计技术、反应腔温度控制技术等核心技术,解决了半导体制造中纳米 级厚度薄膜均匀一致性、薄膜表面颗粒数量少、快速成膜、设备产能稳定高速等 关键难题,在保证实现薄膜工艺性能的同时,提升客户产线的产能,减少客户产 线的生产成本。

在 PECVD、ALD 及 SACVD 设备领域,公司已形成覆盖二十余种 工艺型号的薄膜沉积设备,满足下游客户晶圆制造产线多种薄膜沉积工艺需求, 公司自主研发形成的核心技术,已达到国际先进水平。

公司系列设备与产业深度融合的具体情况如下 (1)PECVD 设备 PECVD 设备系集成电路制造的核心设备,一颗芯片的制造过程中,涉及十余种 不同材料的薄膜,各类电性能、机械性能不同的薄膜构成了芯片 3D 结构体中不 同的功能。(2)ALD 设备 ALD 设备具备优秀的多面体表面成膜能力,可以满足复杂结构体的镀膜需求, 在先进制程集成电路制造工序中应用广泛。公司已量产了 PE-ALD,正在研发 Thermal-ALD 设备,具体产业化应用情况如下。(3)SACVD 设备 SACVD 设备具有能够填平沟槽孔洞结构至平整的能力和沉积速度快的特点,系 集成电路制造中的重要设备。公司研发生产了多种不同工艺的 SACVD 设备,具 体产业化应用情况如下。

3.2、公司具备突出的研发实力

公司研发实力较为突出,承担了多项国家科技重大专项及其他省部级重大科研项 目,并与外部科研机构进行相关项目的合作研发。公司未来将继续致力于高端半 导体设备的研发生产,扩大现有设备市场占有率,提高公司设备的技术先进性, 丰富公司设备种类,拓展技术应用领域,并开拓中国台湾市场。

公司对标国际巨头,持续保持最新技术的研究和投入,坚持技术和产品创新, 2018-2022H1 研发投入分别为 10,797.31 万元、7,431.87 万元、12,278.18 万 元、28,830.85 万元和 11,751.70 万元,分别占各期营业收入 152.84%、29.58%、 28.19%、38.0%和 22.46%,其中 2018 年度研发投入占营业收入比例超过 100% 系营业收入较小所致。

公司已经建成了一支国际化、专业化的半导体薄膜沉积设备研发技术团队。公司 创始团队以归国海外专家为核心,立足核心技术研发,积极引进海外高层次人才、 自主培养本土科研团队。 公司自设立以来,自主培养本土科研团队,随着多项产品的研发成功,公司本土 科研团队已成长为公司技术研发的中坚力量。截至 2022 年 6 月,公司研发人员 共有 296 名,占公司员工总数的 43.72%。公司的研发技术团队结构合理,分工 明确,专业知识储备深厚,产线验证经验丰富,是奠定公司技术实力的基石,保 障了公司产品的市场竞争力。

(本文仅供参考,不代表我们的任何投资建议。如需使用相关息,请参阅报告原文。)

详见报告原文。   

精选报告来源【未来智库】


温馨提醒:用户在赢家聊吧发表的所有资料、言论等仅代表个人观点,与本网站立场无关,不对您构成任何投资建议。本文中出现任何联系方式与本站无关,谨防个人信息,财产资金安全。
点赞13
发表评论
输入昵称或选择经常@的人
聊吧群聊

添加群

请输入验证信息:

你的加群请求已发送,请等候群主/管理员验证。

时价预警 查看详情>
  • 江恩支撑:168.27
  • 江恩阻力:189.3
  • 时间窗口:2024-06-19

数据来自赢家江恩软件>>

本吧详情
吧 主:

虚位以待

副吧主:

暂无

会 员:

0人关注了该股票

功 能:
知识问答 查看详情>