登录 注册 返回主站
F10资料 推荐产品 炒股必读

半导体前道设备行业研究

  • 作者:幸福生活
  • 2022-07-14 14:05:31
  • 分享:

(报告出品方东方证券)

1. 半导体设备行业介绍

1.1半导体生产过程中有哪些工艺步骤,需要用到哪些设备?

集成电路系采用一定的工艺,把一个电路中所需的晶体管、电阻、电容和电感等元件及它们之间 的连接导线全部制作在一小块半导体晶片如硅片或介质基片上,然后焊接封装在一个管壳内,成 为具有所需电路功能的电子器件。

半导体设备在芯片制造中发挥着重要作用。半导体设备是半导体制造的基石,是半导体行业的基 础和核心。半导体工艺流程主要包括硅片制造、IC 设计、芯片制造和芯片封测,从产业链来看, 半导体设备在硅片制造以及芯片制造的前道/后道工艺中均发挥着重要作用。

半导体生产过程中有哪些工艺步骤,需要用到哪些设备? 前道晶圆制造工艺复杂,分别为氧化/扩散、光刻、刻蚀、离子注入、薄膜生长、抛光、金属化, 清洗和检测是贯穿半导体制造的重要环节,简化来看,按照工艺次序可分为 氧化在硅片表面形成二氧化硅层,由于二氧化硅硬度高且致密,可以保护晶圆表面不被划伤并 且阻挡污染物。 涂胶通过涂胶机在晶圆表面均匀涂覆光刻胶。 光刻通过光刻曝光将设计好的电路图从掩膜版转移到晶圆表面。 显影在显影机中利用显影剂去除被曝光的光刻胶,在光刻胶膜上显示出电路图形。 刻蚀在刻蚀机中通过离子撞击去除多余的氧化层或其他薄膜层,将电路图形从光刻胶膜永久转 移到晶圆表面。 离子注入将掺杂剂材料射入晶圆表面(也可通过热扩散工艺实现)。该步骤的主要目的是形成 PN 结,PN 结是晶体管工作的基本结构。(即利用 PN 结的导通和截止分别代表 1 和 0) 去胶光刻胶仅作为转移电路图形的中介,最终并不在电路中发挥实际作用,因此需要通过去胶 机去除。

薄膜沉积前述操按照预定的电路图在相应位置形成了核心器件 PN 结,但这些结构是分立的, 需要添加导电层实现互连(相当于电路中的导线),薄膜沉积操作可将金属层等结构添加在晶圆 表面。薄膜沉积也可以在晶圆表面添加绝缘介质或其他半导体,沉积好的薄膜将作为电路的功能 材料层(类比 3D 打印)。 CMP(化学机械抛光)该过程可去除之前晶圆表面形成的多余材料,并实现晶圆表面平坦化。 直观理解即集成电路的制造过程好比建多层的楼房,每搭建一层楼层都需要让楼层足够平坦齐整, 才能在其上方继续搭建另一层楼,否则楼面就会高低不平,影响整体性能和可靠性。

1.2 半导体设备行业的壁垒在哪里,为什么难以突破?

技术壁垒 从技术角度看,我们认为半导体设备技术壁垒可分为三个层次 1) 半导体设备是由成千上万的零部件组成的复杂系统,将成千上万的零部件有机组合在一起实 现精细至 nm 级别的操作是第一个难点。

2) 保障设备在 nm 级别的操作基础上的超高良率是半导体设备制造过程中的第二个难点。制造 一块芯片通常需要上百台设备紧密配合,历经 400-500 道工序,若单工序良率仅能做到 99%, 则历经 500 道工序最终良率不足 1%;提升至小数点后两位的水平才能使良率达到 95%以上。

3) 保障设备在实现以上两个要求的基础上长时间稳定的运行是半导体设备制造过程中的第三个 难点。与上述同理,一条芯片产线上百台设备,每一台设备稳定运行时间的微小降低将在整 个体系内被巨额放大。若发生设备宕机造成该批假设 1000 片晶圆的报废,在 28nm 及以下的 制程级别对应的损失超过 300 万美元,近 2000 万人民币。

客户验证壁垒 正是由于设备本身和产线构成的复杂性,单设备的良率、稳定性会在整个体系内产生累积效应的 影响,同时可能带来巨额的潜在损失,因此晶圆制造厂商对于上游设备的验证、验收有严苛的标 准和流程。同时,对于晶圆制造厂而言,配合上游设备验证需要付出大量的人力(合作研发、调 试)、物力(拿出其他设备配合验证的机会成本损失、验证过程中的物料损失),以及采用新设 备供应商面临的巨大潜在风险(批量晶圆报废的风险、向客户延迟交货的风险),很少有晶圆厂 愿意承担以上的损失和风险去验证新供应商的设备。

1.3 为什么说现在是国内半导体设备行业的黄金时期?

我们认为半导体设备公司成功需要四大要素契机、人才、资金、时间。目前国内环境四大要素 均具备,因此处于发展的黄金时期 1) 契机下游制造厂商正常情况下不愿意冒风险和承担额外成本去验证新供应商的设备,中美 贸易摩擦和设备禁令带来的供应链安全问题给国内制造厂商足够的理由接受国产设备。另一 方面,近两年半导体行业高景气带动下游积极扩产。 2)人才目前国内既有科研院所、头部企业等培养出来内地人才,也有众多海外设备大厂从业 背景的海归人才积极创业。 3)资金科创板支持科技类企业融资。 4)时间部分半导体设备厂商切入前道领域时间较短,处于样机和小批量订单阶段,未来有望 加速放量。

1.4 如何看待本土设备厂商的竞争优势和未来前景?

成本和价格并非本土标签以外的唯一竞争力,快速响应的定制化服务为重要优势。基于本土优势, 一方面可以提供低成本、定制化、及时的服务(海外设备厂商往往委托国内团队做售后服务,同 时服务定制化程度弱),另一方面能够针对客户技术需求进行联合攻关、定制化开发,适配性的 提升会带动稳定性和良率的提升。

伴随客户导入,国产设备技术水平/工艺覆盖度有望快速提升。尽管部分国产设备目前稳定性、良 率方面等相较国际大厂仍有差距,但只要下游厂商愿意导入国产设备,长远来看,部分国产设备 技术能力、稳定性、良率将随与下游客户的积累持续加速提升,将实现从“能用”到“好用”的 转变,如中微公司在部分 MOCVD 设备市场已实现对海外巨头的超越。

以芯源微为例,在与客户的持续合作中,公司不断进行产品架构优化、平台升级。相比于公司初 期交付客户的前道涂胶显影机产品,第二代设备对第一代使用的架构平台进行了大幅度优化改造, 机械手也使用了公司自研的机械手;目前在客户端验证的第三代平台产品设备产能相比第二代机 型大幅提升、效率良率提升、并且针对更先进制程工艺需求对核心零部件进行了升级换代。

1.5 半导体设备的验证流程是怎样的?

半导体设备验证流程复杂,周期长。设备厂商与下游客户在首次合作或某种工艺机台的首次合作 前,需要先向客户发送验证机台,该机台验证通过后下游晶圆厂才会给到设备厂批量订单。客户 验证首台设备的主要流程为(以CMP设备的验证为例)机台交付并运送至客户厂区后,由公司 人员在客户现场完成机台的装机和调试工作,经过工艺测试和产品片验证满足客户要求后,按照 客户验证对设备进行小批量测试、马拉松测试,以对机台稳定性进行考察,之后启动机台验收, 总耗时需要 8-18 个月。

1.6 如何理解半导体设备厂商订单到收入确认的节奏?

签单阶段除了直接签署正式订单的模式外,部分情况下具有明确采购意向的客户会跟设备公司 先形成意向订单(一般无纸质或电子材料)。正式订单签署后设备公司一般收取 30%的预付款 (依设备公司和客户不同而存在差异,部分无预收款)。 交付阶段意向订单形成或直接签署正式订单后,设备厂商会根据客户进行生产,一般在 3-6 个 月后实现交付(不同类型设备、不同厂商交期存在差异)。 验收阶段设备交付客户后,设备厂商一般会收取 60%到货款,验收通过后收取 10%尾款,并确 认收入。

合同负债情况可一定程度反映设备厂商在手订单情况,订单反映到业绩上需要 6-12 个月的周期。 以拓荆科技为例,截至 2022 年第一季度末,拓荆科技合同负债达到 7.8 亿元,相比 2021 年末增 长幅度达到 60%。一方面反映了国内半导体设备行业的持续景气,另一方面也反映了公司自身业 务的快速推进。订单到业绩层面,由于半导设备生产交付、验收均需要 3-6 个月左右的时间周期, 因此订单反映到公司收入上一般需要 6-12 个月时间。

每年下半年为半导体设备厂商收入确认高峰期。晶圆厂通常于前一年末或当年初作出全年的资本 性支出计划,此后开展采购、安装、调试、验收,导致半导体设备公司取得客户验收、确认收入 的时点相对集中于下半年,尤其集中于第四季度。

2. 半导体前道设备各环节格局梳理

晶圆制造设备是半导体设备行业需求最大的领域,光刻、刻蚀和沉积设备为主要组成部分。根据 SEMI 数据来看,目前半导体设备主要为晶圆制造设备,市场占有率超过 85%。其中,刻蚀机、 薄膜沉积、光刻机设备为半导体设备的核心设备,这三类半导体设备的市占率分别为 22%、22% 和 20%。

国内为全球半导体设备最大市场,先进技术由美欧日等国主导。从地区分布来看,中国大陆半导 体设备市场占比呈持续提升之势,2021年市场规模达到296亿美元,占全球市场的比重为29%, 是半导体设备的最大市场。先进半导体设备技术仍由美欧日等国主导,其中美国的刻蚀设备、离 子注入机、薄膜沉积设备、测试设备、程序控制、CMP 等设备的制造技术位于世界前列;荷兰凭 借 ASML 的高端光刻机在全球处于领先地位;日本则在刻蚀设备、清洗设备、测试设备等方面具 有竞争优势。(报告来源未来智库)

(1)刻蚀设备

干法刻蚀在半导体刻蚀中占主流地位,ICP 与 CCP 是应用最广泛的刻蚀设备。刻蚀是使用化学或 者物理方法有选择地从硅片表面去除不需要材料的过程。刻蚀分为湿法刻蚀和干法刻蚀,干法刻 蚀市场占比超 90%。干法刻蚀也称等离子刻蚀,是使用气态的化学刻蚀剂去除部分材料并形成可 挥发性的生成物,然后将其抽离反应腔的过程。等离子体刻蚀机根据等离子体产生和控制技术的 不同而大致分为两大类,即电容性等离子体(CCP)刻蚀机和电感性等离子体(ICP)刻蚀机。 CCP 刻蚀机主要用于电介质材料的刻蚀工艺,ICP 刻蚀机主要用于硅刻蚀和金属刻蚀。

刻蚀设备市场集中度较高,中微公司、北方华创部分技术已达到国际一流水平。刻蚀设备占据半 导体设备超 20%的市场,2021 年全球刻蚀设备市场规模为 194 亿美元,预计 2022 年将达到 216 亿美元。刻蚀设备市场集中度高,被三家龙头企业垄断,其中泛林半导体技术实力最强,占据 47% 的市场份额,东京电子和应用材料分别占据 27%和 17%。从国内市场来看,刻蚀机是我国最具优 势的半导体设备领域,北方华创与中微公司分别在硅刻蚀领域和介质刻蚀领域,处于国内领先地 位,中微公司的介质刻蚀已经进入台积电 5nm 产线,北方华创在 ICP 刻蚀领域优势显著,已进入 中芯国际 14nm 产线验证阶段。

(2)薄膜沉积设备

薄膜沉积设备主要分 CVD、PVD、ALD 三大类,其中 CVD 市场占比最高。薄膜沉积是指在硅片 衬底上沉积一层待处理的薄膜材料,如二氧化硅、氮化硅、多晶硅等非金属以及铜等金属。薄膜 沉积设备主要包括 CVD 设备、PVD 设备/电镀设备和 ALD 设备,三者各有所长,CVD 主要应用 于各种氮化物、碳化物、氧化物、硼化物、硅化物涂层的制备,PVD 主要应用于金属涂层的制备, ALD 属于新兴领域,一般用于 45nm 以下制程芯片的制备,具备更好的膜厚均匀性,同时在高深 宽比的器件制备方面更有优势。

目前,全球薄膜沉积设备中 CVD 占比最高,2020 年占比 64%,溅射 PVD 设备占比 21%。CVD 设备中,PECVD 占比 53%, ALD 设备占比 20%。

薄膜沉积设备市场美系厂商具备强话语权。薄膜沉积设备在半导体设备中占比稳定在 20%左右, 2021 年全球半导体薄膜沉积设备市场规模达 190 亿美元,预计 2022 年将达到 212 亿美元。细分 领域来讲,AMAT 独占鳌头,约占全球 PVD 市场份额的 80%以上;CVD 领域,AMAT、LAM、 TEL 三家约占全球市场份额的 70%以上。

国内厂商尚不存在直接竞争,共同受益国产化率提升 1) 拓荆科技引领 PECVD 国产化拓荆科技具备 CVD、ALD 供应能力,CVD 产品包括 PECVD 和 SACVD,其中主力产品为 PECVD,尽管北方华创也有 PECVD 产品,但目前主要应用于光伏 /LED 领域。拓荆科技 SACVD 也是国内唯一一家产业化生产该设备的厂商,而北方华创 CVD 产 品除 PECVD 外主要为 LPCVD、APCVD。ALD 产品方面,拓荆科技与北方华创产品应用工艺有 所差异(拓荆科技ALD应用于SADP工艺、STI表面薄膜;北方华创ALD应用于HKMG工艺)。 由此可见,拓荆科技与北方华创尚不存在直接竞争。 2) 北方华创 PVD 优势显著北方华创薄膜沉积产品线较为全面,具备 PVD、CVD、ALD 产品 供应能力,在 PVD 设备领域竞争优势显著,国内产线导入的国产 PVD 设备基本均出自北方华创。 拓荆科技、中微公司尚不具备 PVD 产品供应能力。 3) 中微公司主要为 MOCVD 设备,为北方华创、拓荆科技未产业化涉足的领域,产品应用于化 合物半导体,其主要客户为乾照光电、三安光电等 LED 生产厂商。

(3)光刻机

光刻机是集成电路制造的核心设备之一,技术难度极高。光刻是决定集成电路集成度的核心工序, 光刻即将电路图形息从掩模版上保真传输、转印到半导体材料衬底上,其基本原理是,利用涂 敷在衬底表面的光刻胶的光化学反应作用,记录掩模版上的电路图形,从而实现转印的目的。光 刻机发展至今,经历了 5 代产品的迭代,已从最初的 g-line,i-line 历经 KrF、ArF 发展到了如今的 EUV。全球 TOP3 光刻机厂商 2021 年销量结构中,EUV、ArFi、ArF、KrF、I-line 设备分别占比 9%、18%、5%、32%、36%。

根据全球半导体设备市场规模估算,2021 年全球光刻机市场规模为 181 亿美元,预计 2022 年将 达到 201 亿美元。全球光刻机前三大厂商的市场份额占比达 90%以上,根据前三大厂商的销售数 据显示,2015-2021 年,全球光刻机销售量总体增长,2021 年达 478 台。

ASML 垄断全球光刻机市场,国内厂商实现零的突破。光刻机市场集中度高,ASML、Nikon、 Canon 市场占有率超过 90%,其中 ASML 由于其技术领先,垄断了 EUV 光刻机,独占 75%的市 场份额,Nikon 与 Canon 分别占据 13%和 6%的市场份额。ASML 的光刻机技术在全球处于绝对 领先地位,EUV 领域 ASML 市占率为 100%,ArFi、ArF 领域市占率分别高达 96%、88%。

长期以来,我国的光刻技术落后于先进国家,近年来,在国家政策的扶持下,我国光刻机技术也 开始了飞速的发展,上海微电子目前已可量产 90nm 分辨率的 ArF 光刻机,28nm 分辨率的光刻机 也有望取得突破。

(4)检测设备

检测设备是应用于工艺过程中的测量类设备和缺陷(含颗粒)检查类设备的统称。随着芯片结构 的不断细微化和工艺的不断复杂化,工艺检测设备在先进前段生产线中发挥着越来越重要的作用。 目前工艺检测设备投资占前端设备总投资的 11%。

按全球各类量测设备产品市场份额来看,膜厚测量设备占比约 12%;CD-SEM占比约 12%;套刻 误差测量占比约 9%;宏观缺陷检测占比约 6%;有图形晶圆检测占比约 34%;无图形晶圆检测占 比约 5%;电子束检测占比约 12%。

KLA 独霸检测设备市场,国内企业积极推动国产量测设备发展。全球半导体检测和量测设备市场 保持高速增长态势, 2021 年全球市场规模达 100 亿美元,预计 2022 年将达到 112 亿美元。前道 检测设备领域中,KLA 具有绝对优势,占 52%的市场份额;另外,AMAT、Hitachi 分别占 12%、 11%的市场份额。

国内前道检测企业主要有中科飞测、上海精测、上海睿励等。中科飞测目前产品覆盖无图形晶圆 缺陷检测设备、图形晶圆缺陷检测设备、三维形貌量测设备、薄膜膜厚量测设备,已广泛应用在 中芯国际、长江存储、士兰集科、长电科技、华天科技、通富微电等国内主流集成电路制造产线。 上海精测目前主要收入来源于平板显示领域,半导体量测设备覆盖膜厚量测系统、光学关键尺寸 量测系统、电子束缺陷检测系统、光学缺陷检测系统等。上海睿励致力于集成电路生产前道工艺 检测,主要产品为光学膜厚测量设备和光学缺陷检测设备,以及硅片厚度及翘曲测量设备等,同 时开发了应用在 LED 领域的检测设备。

(5)清洗设备

清洗设备为半导体制造的重要设备之一,清洗步骤约占整体步骤的 1/3。半导体清洗是指针对不同的工艺需求对晶圆表面进行无损伤清洗以去除半导体制造过程中的颗粒、自然氧化层、金属污 染、有机物、牺牲层、抛光残留物等杂质的工序。为减少杂质对芯片良率的影响,实际生产中不 仅需要提高单次清洗效率,还需在几乎所有制程前后进行频繁清洗。按照清洗原理来分,清洗工 艺可分为干法清洗和湿法清洗,目前 90%以上的清洗步骤以湿法工艺为主。在湿法清洗工艺路线 下,主要包括单片清洗设备、槽式清洗设备、组合式清洗设备和批式旋转喷淋清洗设备等,其中 以单片清洗设备为主流。

Screen 为全球清洗设备市场龙头,国内盛美上海、芯源微等厂商加速突破。半导体清洗设备约 为半导体设备总规模的 5%,2021 年起半导体清洗设备市场增长迅速,市场规模达到 42 亿美元, 预计 2022 年将达到 47 亿美元。全球半导体清洗设备市场高度集中,Screen、TEL、LAM 与 SEMES 四家公司合计市场占有率达到 90%以上,其中 Screen 市场份额最高,超过 50%。我国 半导体清洗领域的重要参与者包括盛美上海、至纯科技、北方华创、芯源微等,其中,盛美单片 清洗设备最高可单台配置 18 腔体,达到国际先进水平;芯源微单片物理清洗设备国内领先,持续 开拓单片化学清洗设备市场。

(6)涂胶显影设备

涂胶显影设备是集成电路制造过程中不可或缺的关键处理设备。涂胶显影设备是与光刻机配合进 行作业的关键处理设备,主要负责涂胶、烘烤及显影。涂胶/显影机作为光刻机的输入(曝光前光 刻胶涂覆)和输出(曝光后图形的显影)设备,主要通过机械手使晶圆在各系统之间传输和处理, 从而完成晶圆的光刻胶涂覆、固化、显影、坚膜等工艺过程,其直接影响到光刻工序细微曝光图 案的形成,从而影响后续蚀刻和离子注入等工艺中图形转移的结果。在早期的集成电路和较低端 的半导体制造工艺中,此类设备往往单独使用,随着集成电路制造工艺自动化程度及客户对产能 要求的不断提升,多与光刻设备联机作业,KrF、ArF 以及 ArFi 工艺设备逐渐占领市场。

东京电子占据近 90%的市场份额,芯源微引领涂胶显影设备国产替代。涂胶显影设备约为半导体 设备总规模 4%,2021 年全球涂胶显影设备行业市场规模为 34 亿美元。东京电子在涂胶显影设备 市场一家独大,2019 年市场份额 87%。

芯源微为前道涂胶显影设备国内唯一供应商,产品可覆盖 PI、Barc、SOC、SOD、I-line、KrF、 ArF 等工艺,ArFi 工艺设备也正在研发验证过程中,有望按照 I-line→KrF→ArF→ArFi 的路径实现 对海外涂胶显影设备的替代,同时在胶膜涂覆均匀性、平均故障间隔时间、产能等核心技术指标 方面有望逐步追平甚至赶超海外厂商。

(7)CMP 设备

CMP 技术即化学机械抛光,通过化学腐蚀与机械研磨的协同配合作用,实现晶圆表面多余材料的 高效去除与全局纳米级平坦化。CMP 设备一般由检测系统、控制系统、抛光垫、废物处理系统等 组成,是集成电路制造设备中较为复杂和研制难度较大的设备之一。其工作过程是抛光头将晶 圆抵在粗糙的抛光垫上,借助抛光液腐蚀、微粒摩擦、抛光垫摩擦等耦合实现全局平坦化,抛光 盘带动抛光垫旋转,通过先进的终点检测系统对不同材质和厚度的磨蹭实现 3-10nm 分辨率的实 时厚度测量防止过抛。

CMP 设备市场被应用材料、荏原机械高度垄断。CMP 设备约为半导体设备总规模 3%,2021 年 全球 CMP 设备市场估计为 24 亿美元。目前全球 CMP 设备市场处于高度集中状态,主要由美国 应用材料和日本荏原两家设备制造商占据,合计拥有超过 90%的市场份额。

华海清科技术实力强,差异化技术布局打破海外垄断。国内市场中,华海清科 CMP 设备可广泛 应用于 12 英寸和 8 英寸的集成电路大生产线,是目前国内唯一一家能够提供 12 英寸 CMP 商业 机型的高端半导体设备制造商,并采用与国际大厂差异化的技术路线。

(8)热处理设备

热处理主要包括氧化、扩散和退火工艺。氧化是将硅片放入高温炉中,加入氧气,在晶圆表面形 成二氧化硅。扩散是在硅衬底中掺杂特定的掺杂物,从而改变半导体的导电率。退火是一种加热 过程,通过加热使晶圆产生特定的物理和化学变化,并在晶圆表面增加或移除少量物质。半导体 热处理设备包括快速热处理、氧化/扩散炉和栅栏堆叠设备,热处理炉管设备分为卧式炉、立式炉 和快速热处理炉三类。

应用材料为全球热处理设备市场龙头,屹唐半导体、北方华创引领国产替代。半导体热处理设备 约为半导体设备总规模 2%,2021 年全球热处理设备市场规模 20 亿美元。全球热处理设备整体市 场呈现出寡头垄断的格局,应用材料、东京电子和日立国际电气 2019 年的市占率分别为 46%、 21%和 15%。屹唐半导体 2019 年全球市占率达 5%;另外,北方华创立式炉、卧式炉达到国内半 导体设备的领先水平。(报告来源未来智库)

(9)离子注入机

离子注入是一种掺杂技术,以离子加速的方式将掺杂元素注入到半导体晶片内部,改变其导电特 性并最终形成所需的器件结构。根据离子束电流和束流能量范围,一般可以把离子注入机分为低 能大束流离子注入机、高能离子注入机和中低束流离子注入机。

目前,全球离子注入机仍以大束流离子注入机为主,据 Gartner 数据,大束流离子注入机占离子 注入机市场总份额的 61%。

应用材料垄断全球离子注入机市场。全球离子注入机市场呈现增长态势, 2019 年全球离子注入 机市场规模达 11 亿美元,2021 年市场规模超过 22 亿美元。应用材料几乎垄断了全球离子注入机 市场,占据了 70%的市场份额,其次为 Axcelis,占 20%。

凯世通、中科引领国内离子注入机国产替代。2021 年 5 月,凯世通自主研发的首台低能大束流 离子注入机率先在国内 12 英寸主流集成电路芯片制造厂完成设备验证和验收工作。2021 年第四 季度,凯世通的低能大束流重金属离子注入机、低能大束流超低温离子注入机成功通过验证和验 收,高能离子注入机顺利在另一家 12 英寸集成电路芯片制造厂完成交付。 2022 年一季度,凯世 通获得重要客户的批量订单,包含 12 英寸低能大束流离子注入机和低能大束流超低温离子注入机, 并与另一家集成电路制造厂签订了一台低能大束流离子注入机订单,截止 22Q1 在手订单金额超 人民币 6.8 亿元。中科也已成功实现离子注入机全谱系产品国产化,包括中束流、大束流、高 能、特种应用及第三代半导体等离子注入机,工艺段覆盖至 28nm。

(10)去胶设备

去胶即刻蚀或离子注入完成之后去除残余光刻胶的过程。去胶工艺类似于刻蚀,操作对象是光刻 胶。去胶工艺可分为湿法去胶和干法去胶,湿法去胶工艺使用溶剂对光刻胶等进行溶解,干法去 胶工艺可视为等离子刻蚀技术的延伸,主要通过等离子体和薄膜材料的化学反应完成,目前主流 工艺是干法去胶。

屹唐半导体为去胶设备全球龙头。随着先进芯片制造工艺的发展,干法去胶设备的技术不断提高, 逐渐成为先进光刻中关键步骤,市场规模也在逐渐扩大,2021 年,全球干法去胶设备市场规模超 过 7 亿美元。全球干法去胶设备领域呈现多寡头竞争的发展趋势,前五大厂商的市场份额合计超 过 90%。屹唐半导体市占率位居全球第一,已全面覆盖全球前十大芯片制造商和国内行业领先芯 片制造商,可用于 90nm-5nm 逻辑芯片、1y 到 2x 纳米系列 DRAM 芯片以及 32 层到 128 层 3D 闪存芯片制造中若干关键步骤的大规模量产。

3. 半导体设备国产替代空间广阔,业绩确定性强

3.1 晶圆厂扩产+技术升级驱动半导体设备行业扩容

半导体设备市场持续增长的底层逻辑是科技产业发展对半导体需求量的提升,直接驱动因素是下 游晶圆制造厂商的扩产。2021 年半导体市场规模实现同比增长 26%,达 5530 亿美元。全球半导 体产业资本支出保持强劲增长,根据 IC Insights 数据,2021 年,全球半导体行业资本支出达到 1539 亿美元,预计 2022 年将达到 1904 亿美元。

摩尔定律推动产业发展,设备行业壁垒将持续提升。根据摩尔定律演进,每隔 18-24 个月芯片性 能将提升一倍。先进制程 IC 产能具有强劲的增长势头,根据 IC Insights 预测,2024 年先进制程 (<10 nm)的 IC 产能预计增长并在全球产能占比提升至 30%。每更新一代工艺制程,则需更新 一代更为先进的制程设备,更加精密的制程带来半导体设备难度直线上升,行业壁垒不断提高。

随着制程推进和工艺升级,单位产能下设备需求将进一步增加。制程和工艺升级推动芯片复杂度 提升,更复杂的结构需要更多的制造工序完成,各类设备的用量显著增加。以刻蚀环节为例, 14nm 制程所需使用的刻蚀步骤达到 64 次,7nm 所需刻蚀步骤达 140 次,较 14nm 提升 118%。 设备用量方面,以中芯国际 180nm8 寸产线和 90nm12 寸产线所用到的薄膜沉积设备为例,每万 片月产能所需的 CVD 设备、PVD 设备分别增加 3 倍和 4 倍左右。

先进工艺单位产能投资几何级数提升。随着技术节点的不断缩小,集成电路制造的设备投入呈大 幅上升的趋势,5万片月产能的5nm技术节点的投资成本高达156亿美元,是14nm的两倍以上。 一条新建生产线最大的资本支出来自于半导体设备,新建产线资本支出中晶圆制造设备占比达 65%。

3.2 国内扩产更为激进,国产化率提升空间大

晶圆产能向大陆转移,国内厂商积极扩产。全球半导体产业向中国大陆转移,中国的半导体行业 正高速增长,成为世界上最大的区域,根据 SEMI 数据,仅从 2015 年到 2020 年,中国 IC 行业收 入以 20%的复合年增长率快速增长至 1280 亿美元。大陆在半导体制造方面保持强劲增长,预计 我国将在十年中增加全球 40%的新半导体制造能力。集微咨询统计,目前大陆 12 英寸晶圆月产 能约为 104 万片,预计 2026 年底,总月产能将超过 276 万片,提高 165%。

国家大力支持半导体设备产业的发展。大基金一期重点投资集成电路芯片制造业,占比达到 67%, 国家大基金二期投资向半导体产业链上游的设备和材料领域倾斜,将对在刻蚀机、薄膜设备、测 试设备和清洗设备等领域已布局的企业保持高强度的持续支持,推动龙头企业做大做强,形成系 列化、成套化装备产品。

大陆半导体设备的成长空间较大,国产化率有望加速。中国大陆半导体设备企业经过多年的技术 研发和工艺积累,在部分领域实现了技术突破和创新,成功通过部分集成电路制造企业的验证, 成为制造企业的设备供应商。去胶设备已基本实现国产化,CMP 设备、清洗设备、热处理设备、 刻蚀设备等的国产化率为 20%左右;涂胶显影设备、离子注入设备、光刻设备也实现了突破。国 产半导体设备进入生产线后,在不同产线持续测试和应用,可以及时掌握晶圆厂的技术需求,有 针对性的对设备进行研发、升级,推动其技术的不断完善、进步和创新。目前国内晶圆厂积极扩 产,极大拉动国内半导体设备需求;终端半导体产品的不断迭代推动晶圆厂开发新的工艺,随着 国内晶圆制造产业的迅速发展,国产半导体设备种类将不断增加,性能也将不断提升,国产设备 厂商将迎来增长机遇,进入加速成长阶段。

(本文仅供参考,不代表我们的任何投资建议。如需使用相关息,请参阅报告原文。)

详见报告原文。   

精选报告来源【未来智库】。


温馨提醒:用户在赢家聊吧发表的所有资料、言论等仅代表个人观点,与本网站立场无关,不对您构成任何投资建议。本文中出现任何联系方式与本站无关,谨防个人信息,财产资金安全。
点赞7
发表评论
输入昵称或选择经常@的人
聊吧群聊

添加群

请输入验证信息:

你的加群请求已发送,请等候群主/管理员验证。

时价预警 查看详情>
  • 江恩支撑:116.59
  • 江恩阻力:131.16
  • 时间窗口:2024-07-11

数据来自赢家江恩软件>>

本吧详情
吧 主:

虚位以待

副吧主:

暂无

会 员:

0人关注了该股票

功 能:
知识问答 查看详情>