登录 注册 返回主站
F10资料 推荐产品 炒股必读

进步有多大?10类半导体设备国产化率大盘点

  • 作者:巴蜀姜人
  • 2023-06-20 06:18:06
  • 分享:

在过去几年里,半导体国产化进程持续加速,为产业发展提供了良好的环境,在政策、资金的扶持下,半导体技术也不断迈上新台阶。从数据上看,优秀国产厂商在技术层面有突出表现,国产替代率提高,呈现明显上升趋势。

1、刻蚀国产化率 22%,中微公司、北方华创、屹唐股份三强崛起

长江存储国产刻蚀设备主要采购自中微公司、北方华创、屹唐股份。在长江存储2017~2021 年刻蚀设备招标中,中微公司设备中标数量位列第三,累计 58 台,仅次于泛林、东京电子,高于应用材料,体现出中微公司在刻蚀设备领域达到国际水平的技术竞争力。北方华创、屹唐股份仅次于应用材料,分别录得 24 台、18 台。

华力集成中微公司中标数量位列第二,仅次于泛林,高于东京电子、应用材料。过去五年华力集成招标期间,中微公司共中标 15 台,北方华创中标 1 台。其中中微公司中标设备包括光阻刻蚀、铜互连沟槽刻蚀、钝化膜刻蚀、通孔刻蚀、多晶硅刻蚀等,北方华创中标设备为多晶硅 STI 刻蚀。

华虹无锡中微公司位列第二,仅次于泛林,高于迪恩士、东京电子。中微公司共中标 11 台,北方华创中标 6 台,其中中微公司中标设备包括钝化膜刻蚀、氧化膜刻蚀、介质侧墙刻蚀等,北方华创中标设备包括多晶硅刻蚀、浅沟槽刻蚀等。

总结刻蚀设备方面,中微公司、北方华创、屹唐股份分列国内前三,其中中微公司工艺覆盖范围相对较广,其主力出货类型为 CCP(电容耦合等离子刻蚀),面向介质刻蚀较多,近期 ICP(电感耦合等离子刻蚀)逐步发力,未来工艺范围有望进一步拓宽;北方华创主要工艺覆盖为多晶硅、浅沟槽、铝刻蚀等类型,主要面向金属、硅等导体刻蚀为主;屹唐股份在长江存储获得大量采购,主要面向介质刻蚀。从三座晶圆厂累计招标情况统计,国产设备中标总数 133 台,晶圆厂招标设备总数 605 台,由此计算国产化率约 22.0%(按照台数占比,下同)。与国外厂商相比,国产刻蚀设备在刻蚀精度、工艺覆盖率等方面还存在进一步提升空间。

2、薄膜沉积国产化率 4.6%,拓荆科技、北方华创、盛美上海为国产前三强

长江存储薄膜沉积设备主要采购日美设备,包括东京电子、国际电气、泛林、应用材料等。国产厂商中,拓荆科技、北方华创分别中标 14 台、11 台,其中拓荆科技中标设备主要为 PECVD(等离子增强化学气相沉积),北方华创中标设备主要为 PVD(物理气相沉积)。

华力集成应用材料中标最多,国产包括拓荆科技、北方华创、盛美上海。其中拓荆科技中标设备为 PECVD,北方华创中标设备为溅射设备,盛美上海中标设备为铜电镀设备。

华虹无锡主要采购应用材料、泛林,国产厂商包括北方华创、拓荆科技、江苏芯梦。其中,北方华创中标设备为 PVD,拓荆科技中标设备为 PECVD,江苏芯梦中标设备为化学镀设备。

总结薄膜沉积设备方面,拓荆科技、北方华创、盛美上海分列国内前三,但三家厂商设备类型有明显差异,其中拓荆科技主要为 PECVD(等离子增强化学气相沉积),北方华创主要为 PVD(物理气相沉积),盛美上海涉及电镀设备,三家厂商均是对应细分设备(PECVD、PVD、电镀)领域的国内龙头,产业地位突出。从三座晶圆厂累计招标情况统计,国产设备中标总数 44 台,晶圆厂招标设备总数 967 台,由此计算国产化率约4.6%。与海外厂商相比,国产厂商在薄膜沉积领域工艺覆盖类型方面尚不完善,仍有较大发展空间。

3、过程控制国产化率 2.4%,中科飞测、精测半导体、睿励科学仪器国内领先

长江存储过程控制设备主要采购美、日设备,包括 Onto(由 Nanometrics 和Rudolph Technologies 合并)、科天、日立高新、应用材料、赛默飞等。国产厂商中,中科飞测、精测半导体、睿励科学仪器分别中标 7 台、6 台、2 台,其中中科飞测中标设备主要为光学表面三维形貌量测设备,精测半导体中标设备主要为膜厚光学关键尺寸量测仪,睿励科学仪器中标设备为介质薄膜测量系统。

华力集成Nova Measuring、科天中标最多,国产仅睿励科学仪器中标。其中Nova Measuring 为以色列量测设备公司,共计中标 45 台,中标产品包括化学机械研磨厚度在线测量设备、光学线宽测量仪设备、硅片厚度测量仪、X 射线光电子能谱分析量测设备等。睿励科学仪器于 2019 年 11 月中标的 1 台设备为后段膜厚测量仪设备(BEOL)。

华虹无锡主要采购科天、日立高新,国产厂商包括吉姆西半导体科技、无锡卓海。其中,吉姆西半导体科技 6 台中标设备为膜厚测量仪,无锡卓海 1 台中标设备为套刻精度检测机。从两家公司官网我们了解到,吉姆西半导体科技主要业务为半导体再制造设备和研磨液供应系统,再制造设备品牌涵盖应用材料、泛林、日新、东京电子、Nanometrics、Mattson 等;无锡卓海科技专注半导体前道检测与量测设备领域的研发、制造、修理、技术服务,再制造设备品牌涵盖科天、日立高新、Ruldoph、Quantox、尼康等。

总结过程控制设备方面,中科飞测、精测半导体、睿励科学仪器属于国内布局领先企业,其中中科飞测主要产品为光学表面三维形貌量测设备等光学检测设备,精测半导体、睿励科学仪器主要产品均为膜厚量测设备。从三座晶圆厂累计招标情况统计,国产设备中标总数 16 台,晶圆厂招标设备总数 680 台,由此计算国产化率约 2.4%,国产厂商设备仅覆盖膜厚量测、光学形貌量测等类型,品类尚不齐全,存在较大市场空间尚待开拓。

4、氧化扩散/热处理设备国产化率 29%,北方华创优势较为明显

长江存储北方华创中标仅次于东京电子,屹唐股份、成都莱普科技亦获得采购。其中北方华创共计中标 94 台,中标产品涵盖氧化、退火、合金等设备。屹唐股份中标 6台,主要为退火设备;成都莱普科技于 2021 年 9 月中标 2 台,为退火设备。

华力集成东京电子、应用材料等企业领先,北方华创、屹唐股份、盛美上海亦获得采购。其中北方华创共计中标 4 台,中标产品涵盖退火、合金、氧化炉设备;屹唐股份(Mattson)中标 2 台,为快速热退火/快速热氧化设备;盛美上海中标 1 台,为低压高温氧化炉设备。

华虹无锡东京电子获采购最多,国产厂商包括北方华创、屹唐股份、上海微电子等。其中,北方华创中标 10 台设备,包括合金退火炉、真空烘烤炉等;屹唐股份中标 3台,为快速热退火设备;上海微电子中标 2 台,为背面激光退火设备。

总结氧化扩散/热处理设备方面,北方华创中标设备数量靠前,尤其是在长江存储中获采购数量较大。北方华创相关设备主要以各类氧化炉、退火炉、合金炉等为主;除北方华创外,屹唐股份、盛美上海等公司亦有相关炉管产品;上海微电子面向 IGBT 等应用开发了激光退火设备,与炉管设备有所区别。从三座晶圆厂累计招标情况统计,国产设备中标总数 124 台,晶圆厂招标设备总数 430 台,由此计算国产化率约 28.8%。

5、清洗国产化率 31%,盛美上海中标设备数量国产最多,仅次于日本迪恩士

长江存储盛美上海中标设备数仅次于日本厂商迪恩士,国产中标厂商还包括芯矽科技、北方华创、屹唐股份。其中盛美上海共中标 35 台,中标产品主要包括各类型单片式清洗机。芯矽科技共计中标 5 台,中标产品为零部件清洗机。北方华创共中标 2 台制程挡控片蚀刻回收清洗机,屹唐股份亦于 2021 年中标 2 台清洗设备。

华力集成盛美上海中标仅次于日本迪恩士,北方华创、芯源微亦获得采购。其中盛美上海共计中标 19 台,中标产品涵盖前段、后段工艺的清洗设备。北方华创中标 13台,均为部件清洗设备;芯源微中标 3 台,为刷片清洗设备。

华虹无锡迪恩士、盛美上海分列前两位,国产厂商还包括上海稷以科技有限公司。其中,盛美上海中标 19 台设备涵盖前后段制程,涉及铜线聚合体剥离、铝线及通孔清洗、多晶硅氧化膜硅片再生、扩散炉前清洗等环节,产品应用较为多样。上海稷以科技有限公司于 2021 年 9 月首次中标华虹无锡清洗设备 1 台,具体产品为 300mm 薄片等离子背面清洗机。

总结清洗设备方面,盛美上海表现较为突出,在选取的三家晶圆厂中设备中标数量均位列第二,仅次于日本迪恩士。盛美上海清洗设备工艺覆盖面较广,基本涵盖前、中、后段工艺,除盛美上海以外,国内北方华创、芯源微、屹唐股份、至纯科技等企业均有所布局。从三座晶圆厂累计招标情况统计,国产设备中标总数 99 台,晶圆厂招标设备总数 318 台,由此计算国产化率约 31.1%。当前国产设备主要在后端制程为主,且部分用于处理控片、挡片,在正片、前端制程应用相对有限,未来仍存在较大发展空间。

6、去胶国产化率 74%,屹唐股份、盛美上海国产入围

长江存储屹唐股份中标数量位列第一。屹唐股份共计中标 74 台,数量超过韩国PSK,中标产品涵盖前、中、后段干法去胶设备。屹唐股份为中标范围内唯一一家国产厂商。

华力集成屹唐股份中标数量位列第一。屹唐股份共计中标 10 台,中标产品为等离子去胶设备,涵盖前、中、后段去胶工艺。

华虹无锡迪恩士获采购较多,国产厂商包括屹唐股份、盛美上海。其中,屹唐股份中标 13 台设备,均为等离子去胶设备;盛美上海中标 4 台,均为前段光刻胶剥离设备。

总结去胶设备方面,屹唐股份、盛美上海等公司入围,两家设备类型有所区别。其中,屹唐股份主要产品为各类等离子体干法去胶设备,其收购的 Mattson 在去胶领域具有长期技术积累,国产化率相对较高,盛美上海产品为湿法去胶设备。从三座晶圆厂累计招标情况统计,国产设备中标总数 101 台,晶圆厂招标设备总数 137 台,由此计算国产化率约 73.7%。

7、化学机械抛光国产化率 21%,华海清科为国内细分龙头

长江存储应用材料、华海清科中标最多。其中华海清科共计中标 34 台,仅次于应用材料,中标产品主要为层间介质层化学机械抛光机、氧化硅化学机械抛光机、晶圆硅面化学机械抛光机等。

华力集成应用材料、荏原制作所领先,国内华海清科中标。华海清科共计中标 4台,中标产品涵盖硅研磨设备、铜化学机械研磨设备、氧化硅化学机械研磨设备和硅片背面氧化膜化学机械研磨设备。

华虹无锡应用材料、华海清科获采购较多。华海清科共计中标 10 台设备,化学机械抛光工艺涵盖铜、硅片再生、浅沟槽绝缘氧化膜&多晶硅膜、钨等工艺环节,应用领域较为多样;吉姆西半导体科技中标 5 台,为氧化膜化学机械抛光设备。

总结化学机械抛光设备方面,华海清科为国内细分龙头,化学机械抛光设备涵盖铜、硅片再生、浅沟槽绝缘氧化膜&多晶硅膜、钨等多类材料。从三座晶圆厂累计招标情况统计,国产设备中标总数 48 台,晶圆厂招标设备总数 230 台,由此计算国产化率约20.9%。与海外厂商相比,在工艺覆盖率方面,国内厂商有进一步提升空间。

8、离子注入国产化率 1.4%,烁科中科国产获采购

长江存储应用材料、亚舍立(Axcelis)中标较多。应用材料为离子注入领域全球龙头,共计中标 38 台,中标产品涵盖高束流、中束流等类型;亚舍立 Axcelis 中标 8 台,主要为高能离子注入设备。

华力集成应用材料、住友重工、亚舍立等企业领先,国产烁科中科获得采购。应用材料中标设备涵盖高电流、中电流和高能量离子注入设备;住友重工中标设备包括高电流和中电流离子注入设备;亚舍立中标设备为中电流和高能量离子注入机;烁科中科于 2019 年中标 1 台中束流离子注入机。

华虹无锡住友重工、应用材料获采购最多,国产厂商烁科中科获得采购。其中,住友重工、应用材料、亚舍立分别中标 22 台、20 台、4 台离子注入机;烁科中科于2020 年中标 1 台,为中电流离子注入设备。

总结离子注入设备方面,烁科中科在华虹无锡、华力集成均获得中标,中标设备均为中束流离子注入设备。从三座晶圆厂累计招标情况统计,国产设备中标总数 2 台,晶圆厂招标设备总数 139 台,由此计算国产化率约 1.4%,该领域尚存在较大国内外差距,替代空间广阔。

9、涂胶显影国产化率 1.1%,芯源微实现国产零突破

长江存储主要采购自东京电子,部分迪恩士、汉民科技。尚未采购国产厂商设备。

华力集成东京电子获采购数量领先,芯源微实现零的突破。其中,国产厂商芯源微中标 1 台,为防反射层匀胶机设备/BARC Coater。

华虹无锡18 台招标涂胶显影设备全部采购自东京电子,尚未采购国产厂商设备。

总结涂胶显影设备方面,东京电子获采购较多,国产设备公司中仅芯源微入围。芯源微在华力集成中标设备为匀胶机,国产化尚存在较大发展空间。除上述晶圆厂外,芯源微还在中芯绍兴、上海积塔、青岛芯恩等晶圆厂获得批量招标采购,产品包括聚合物涂胶显影机、背面涂胶显影机、KrF 匀胶显影机、I-line 匀胶显影机等,公司在国内涂胶显影设备领域具有一定稀缺性。从前述三座晶圆厂累计招标情况统计,国产设备中标总数 1 台,晶圆厂招标设备总数 91 台,由此计算国产化率约 1.1%。

10、光刻国产化率 1.2%,阿斯麦绝对垄断,上海微实现国产零突破

光刻机方面,各晶圆厂均主要采购阿斯麦产品,少量采购日系厂商佳能、尼康。国产厂商中,上海微电子装备于 2021 年初于长江存储中标一台光刻机。当前在光刻机领域,后续厂与龙头厂商阿斯麦之间差距仍较为明显。从三座晶圆厂累计招标情况来看,国产设备中标总数 1 台,晶圆厂招标设备总数 86 台,国产化率约 1.2%,国产化率尚低。

我们发现,国产化率较高的领域都可以找到相应的国内细分龙头 公司,在去胶领域,屹唐股份收购的 Mattson 公司在等离子体去胶领域具有长期成熟技 术积累,是去胶领域细分龙头厂商,因此国产化率最高;清洗领域盛美上海深耕多年, 清洗技术独特,亦占据国内地位;氧化扩散/热处理领域北方华创出货 多,尤其是在长江存储占比较高;刻蚀领域中微公司在介质刻蚀深耕多年,北方华创在 金属和硅刻蚀长期布局,屹唐股份亦在介质成熟技术;化学机械抛光领域华海 清科为国内细分龙头。

而国产化率尚低的领域均为产品类型繁多或者技术壁垒较, 尚待时间开拓,如薄膜沉积产品类型众多,当前国产厂商布局尚且有限,过程控制、离 子注入和光刻机技术壁垒均较高,需长期技术积淀,国内厂商需经历长期发展有望逐步 突破,目前拓荆科技、中微公司分工协作分别布局 PECVD、LPCVD 化学气相沉积领域, 北方华创布局 PVD 物理气相沉积领域,芯源微在涂胶显影领域实现零的突破,上海微电 子在光刻领域实现零的突破。


温馨提醒:用户在赢家聊吧发表的所有资料、言论等仅代表个人观点,与本网站立场无关,不对您构成任何投资建议。本文中出现任何联系方式与本站无关,谨防个人信息,财产资金安全。
点赞2
发表评论
输入昵称或选择经常@的人
聊吧群聊

添加群

请输入验证信息:

你的加群请求已发送,请等候群主/管理员验证。

时价预警 查看详情>
  • 江恩支撑:130.19
  • 江恩阻力:146.46
  • 时间窗口:2024-05-16

数据来自赢家江恩软件>>

本吧详情
吧 主:

虚位以待

副吧主:

暂无

会 员:

1人关注了该股票

功 能:
知识问答 查看详情>