登录 注册 返回主站
F10资料 推荐产品 炒股必读

半导体薄膜沉积设备龙头拓荆科技

  • 作者:魔鬼
  • 2022-07-29 17:46:01
  • 分享:


国产半导体薄膜沉积设备龙头,品类扩张持续,国产半导体薄膜沉积设备龙头,打破国外垄断

拓荆科技主要从事高端半导体专用设备的研发、生产、销售和技术服务。公司 聚焦的半导体薄膜沉积设备与光刻机、刻蚀机共同构成芯片制造三大主设备。

公司 主要产品包括等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD) 设备和次常压化学气相沉积(SACVD)设备三个产品系列。

已广泛应用于国内晶 圆厂 14nm 及以上制程集成电路制造产线,并已展开 10nm 及以下制程产品验证 测试。

公司产品已广泛用于中芯国际、华虹集团、长江存储、长鑫存储、厦门联芯、 燕东微电子等国内主流晶圆厂产线,打破国际厂商对国内市场的垄断,与国际寡头 直接竞争。

公司是国内唯一一家产业化应用的集成电路 PECVD、SACVD 设备厂商,以 前后两任董事长为核心的五名国家级海外高层次专家组建起一支国际化的技术团 队。

形成了三大类半导体薄膜设备产品系列,先后四次承担国家重大科技专项/课 题,被中国半导体行业协会评为 2016 年度、2017 年度、2019 年度“中国半导体 设备五强企业”。

公司自 2010 年成立以来一直专注于半导体薄膜沉积设备业务。PECVD 设备 方面,2011 年,公司首台 12 英寸 PECVD 出厂到中芯国际验证。

在此基础上, 2012 年公司推出 12 英寸多反应腔 PF-300T 设备,该设备于 2013 年通过中芯国 际测试。

2015 年,PF-300T 设备在中芯国际生产线突破一万片晶圆生产,同年公 司获得国家大基金战略投资。2017 年,公司首台量产型 HTM PECVD 出厂到客 户端,加强了 PECVD 领域的优势。

在 ALD 和 SACVD 设备领域公司实现突破,业务范围扩展。ALD 设备方面, 2016 年,公司首台 12 英寸 ALD 出厂到客户端。

2018 年,12 英寸 ALD 通过客 户端 14nm 产业化验证。SACVD 设备方面,2019 年,公司成功研制 SACVD 设 备并出厂到客户端,产品品类进一步丰富。

PECVD 设备系公司核心产品,是芯片制造的核心设备之一

相比传统的 CVD 设备,PECVD 设备在相对较低的反应温度下形成高致密度、高性能薄膜,不破坏 已有薄膜和已形成的底层电路,实现更快的薄膜沉积速度,是芯片制造薄膜沉积工 艺中运用最广泛的设备种类。

公司是国内唯一一家产业化应用的集成电路 PECVD 设备厂商,已适配 180-14nm 逻辑芯片、19/17nmDRAM 及 64/128 层 FLASH 制造工艺需求,产品能够兼容 SiO2、SiN、SiON、BPSG、PSG、TEOS、LokⅠ、 LokⅡ、ACHM、ADCⅠ等多种反应材料。

公司在 ALD 设备和 SACVD 设备等领域也取得了一系列重大进展。ALD 设备是一种可以将反应材料以单原子膜形式通过循环反应逐层沉积在基片表面, 形成对复杂形貌的基底表面全覆盖成膜的专用设备。

公司是国内领先的集成电路 ALD 设备厂商。公司的等离子体增强原子层沉积设备(PE-ALD),目前已适配 55- 14nm 逻辑芯片制造工艺需求。

SACVD 设备的主要功能是在次常压环境下,通过 对反应腔内气体压力和温度的精确控制,将气相化学反应材料在晶圆表面沉积薄 膜。

拓荆科技是国内唯一一家产业化应用的集成电路 SACVD 设备厂商。公司的 SACVD 设备可以沉积 BPSG、SAF 材料薄膜,适配 12 英寸 40/28nm 以及 8 英 寸 90nm 以上的逻辑芯片制造工艺需求。

收入高速增长,产品毛利提高

受益于公司技术积累与市场景气度提升,公司业绩持续高增长。全球和中国半 导体设备行业需求增长,为公司持续发展和业绩增长提供了较为有利的产业环境。

公司主要研发销售的 PECVD 设备,在满足工艺需求的同时能够有效降低客户的综合使用成本。公司已实现 ACHM、LokⅠ等先进膜工艺 PECVD、ALD、SACVD 等 多项新产品和新工艺的客户端验证。

公司不断进入新市场,引入新客户,实现了营 业收入的高速增长。2018-2021 年,公司营业收入从 0.71 亿元增长至 7.58 亿 元,年复合增速达 120.56%。

盈利能力方面,公司 2018-2021 年毛利率 31.67%、31.85%、34.06%和 44.01%,21 年毛利率有明显改善,主要得益于随着公司技术水平、市场地位的提 升。

公司的议价能力有所提高,平均单价有所上升,公司的规模经济效应开始显现, 平均成本有所降低。同时,公司的产品开始进入先进制程设备市场,设备市场价格 较高,进而提高了毛利率。

2018 年以来公司的管理费用率、销售费用率逐 年降低,助力公司在 2021 年净利润扭亏为盈。

收入结构方面,PECVD 设备的销售收入为公司主营业务收入的最主要来源, 2018-2021 年 PECVD 设备占公司主营业务收入比例分别为 77.98%、100%、 97.55%、90.64%。

已实现产业化应用并实现销售的产品包括 12 英寸 PECVD 设 备(PF-300T)和 8 英寸 PECVD 设备(PF-200T)。

两类设备的销量迅猛增长, PF-300T 设备 2018-2020 年从 12 台增长至 86 台,PF-200T 设备同期从 4 台增 长至 28 台。

公司 PF-300T 和 PF-200T 产品的销售单价总体呈增长趋势, 主要缘于公司议价能力随着公司技术水平、市场地位提升的逐步增强。

此外,公司的 ALD 设备和 SACVD 设备业务开始逐步贡献收入。2018 年, 公司实现 ALD 设备销售收入 1459.58 万元。

2020 年,公司首次实现 SACVD 设 备销售收入 867.26 万元,2021 年该项收入迅速增长至 4115.89 万元,有望成为公司新的业务增长点。

存货大幅增长,发出商品增多预示销售强劲。拓荆科技 2018-2021 年的存货 分别为 3.31 亿元、3.58 亿元、5.24 亿元和 9.69 亿元,呈现快速增长态势。

公司 的存货主要由原材料、在产品、库存商品和发出商品组成。其中,发出产品占据较 大的比重,各期均超过 50%,2021 年达 7.56 亿元。

主要由于薄膜沉积设备设备 验证周期较长,而发出商品增加说明商品订购、验证量高速增长,预示公司销售增 速强劲。

分业务的毛利率方面,近年来公司核心业务 PECVD 毛利率提升明显,由 2018 年的 29.25%提升至 2021 年的 42.64%,主要得益于公司产品市场认可度提高, 议价能力增强。

ALD 设备毛利率波动较大,2019 年无销售,2020 年度实现的 ALD 销售收入是对 2018 年所销售设备的反应腔的升级,成本金额较小,因此毛利率较 高。

SACVD 设备是公司实现营收的新业务,2020 年实现的首台销售因报价较低, 毛利率为负值。2021 年公司实现第二台设备销售,该设备可用于 2.5D 封装、3D 先进封装领域,因此毛利率较高,达 62.99%。

伴随公司技术进步和产品日趋成熟,设备单价呈逐现上升趋势。PF-300T 设 备 2018 年平均单价约为 349.11 万元/台,随着 ADCⅡ、LOkⅡ、ACHM 等新工 艺推出,2021 年 Q1-Q3 单价提升至 449.84 万元/台。

PF-200T 设备方面,受益 于销量增加及生产管理流程优化,2019 年以来成本持续下降。2021 年 Q1-Q3, 与前期配置相同的设备售价稳定,新增的多样化设备配置相应进行价格调整,使得 平均单价略有降低。

公司无实控人,大基金为第一大股东

公司无控股股东和实际控制人。国家集成电路基金为公司第一大股东,持股比 例 26.48%。国投上海为公司第二大股东,持股比例 18.23%。公司关联方中微公 司为第三大股东,持股比例 11.20%。

公司股东吕光泉、刘忆军、凌复华、吴飚、周仁、张先智、张孝勇,以及沈阳 盛腾、芯鑫和等 11 个员工持股平台,均系公司股东姜谦的一致行动人,发行前合 计持有公司 15.19%的股份。

其中,员工持股平台合计持股 12.10%。核心业务、 管理、技术人员持有公司股票能够实现核心团队与公司利益的绑定,激发公司员工 积极性。

保持研发高投入,专利储备雄厚

拓荆科技专注研发,重视技术积累,过去数年间研发营收占比均维持在 28% 之上。半导体专用设备的技术复杂,客户对设备的技术参数、运行的稳定性有苛刻 的要求。因此常年高研发投入积累是产品推陈出新和公司维持竞争优势的基础。

2018-2021 年,公司研发费用分别为 1.08 亿元、0.74 亿元、1.23 亿元和 2.88 亿 元,公司保持了高研发投入。

研发费用率也维持在较高水平,2021 年为 38.04%。 高水平的持续投入保障了公司产品的竞争力,为公司的业绩的成长打下基础。

高比例的技术人才储备和强大的核心管理团队保障研发高效进行。截至 2021 年 9 月 30 日,公司拥有技术研发人员 189人,占公司员工人数的比例为 44.06%。

公司核心技术研发团队以吕光泉博士为核心,近年来公司核心技术研发团队稳定, 具有较强的技术研发团队优势。

公司专利储备雄厚,国家专项支持攻克关键领域。截至 2022 年 3 月 8 日, 公司及下属子公司已获授权专利 174 项,其中境内 153 项,包含发明专利 77 项、 实用新型专利 75 项、外观设计 1 项;

其他国家或地区 21 项,包含中国台湾地区 的发明专利 17 项和美国的发明专利 4 项;国内外和其他地区发明专利合计 98 项。

公司承担了国家科技重大专项“极大规模集成电路制造装备及成套工艺”之“90- 65nm 等离子体增强化学气相沉积设备研发与应用”项目、“1x nm 3D NAND PECVD 研发及产业化”项目。

高管团队经验丰富

公司高管团队主要由海归技术人员组成,均有英特尔、美国诺发等海外龙头厂 商工作经历。吕光泉,公司董事长,美国国籍,美国加州大学圣地亚哥分校博士。

1994 年 8 月至 2014 年 8 月,先后任职于美国科学基金会尖端电子材料研究中 心、美国诺发、德国爱思强公司美国 SSTS 部,历任副研究员、工程技术副总裁等 职。

2014 年 9 月至今就职于公司,成功领导研发团队完成“1x nm 3D NAND PECVD 研发及产业化”国家重大科技专项,完成 ALD、SACVD 设备研发并产业 化应用。

姜谦,公司董事,美国国籍,美国布兰迪斯大学博士。1982 年 1 月至 2005 年 10 月,先后任职于麻省理工学院、英特尔公司、美国诺发,历任研究员、研发 副总裁等职;

2006 年 4 月至 2010 年 3 月,任欣欣科技(沈阳)有限公司执行董 事;2010 年 4 月至今就职于公司。

成功领导研发团队完成“90-65nm 等离子体 增强化学气相沉积设备研发与应用”研发,参与“1x nm 3D NAND PECVD 研发 及产业化”国家重大科技专项及多项产品研发。

田晓明,公司总经理,美国国籍,美国东北大学电子工程学硕士,新加坡南洋 理工大学工商管理硕士。

1982 年 2 月至 2018 年 2 月,先后任职或就读于江西景 光电子有限公司、美国东北大学、美国 Codi Semiconductor, Inc.、泛林半导体、 尼康精机(上海)有限公司,历任设计工程师、资深副总裁等职。

2018 年 2 月至 今就职于公司。参与国家重大科技专项,领导 SACVD 设备并产业化应用。

张孝勇,公司副总经理,美国国籍,美国马里兰大学化学工程博士。2000 年 9 月至 2011 年 2 月,就职于美国诺发,在 PECVD 及 ALD 产品部历任工艺开发 工程师、资深工艺开发工程师、超低介电质工艺开发经理、资深重要客户经理。

2011 年 3 月至今就职于公司。参与公司 12 英寸 PECVD 设备生产型号 PF-300T 的研发及产业化应用,负责“国家科技重大专项课题 A(ALD 相关)”及公司先进 工艺 PECVD 设备研发。

周坚,公司副总经理,美国国籍,美国德克萨斯 A&M 大学电气工程硕士。

1984 年 8 月至 2018 年 10 月,先后任职或就读于江西邮电科研所、美国德克萨 斯 A&M 大学、Nanometrics Inc.、Mattson Technology, Inc.、Nanometrics Inc.、Ecovoltz Inc.、睿励科学仪器(上海)有限公司,历任工程师、软件部总监 等职。

2018 年 11 月至今就职于公司。负责领导公司半导体设备软件开发及优化, 设备电气、系统平台的设计及优化。

景气度上行驱动半导体设备需求,国产替代进程 提速,晶圆厂新建产能推升设备需求

半导体集成电路的前后道工艺都十分复杂,涉及多种工艺和设备

具体来看, 前道工艺(晶圆制造)生产的主要步骤有氧化/扩散、光刻、刻蚀、离子注入、薄 膜生长、清洗与抛光(Clean & CMP)、金属化(Metalization)。

所对应的专用 设备主要包括氧化/扩散设备、光刻设备、刻蚀设备、清洗设备、离子注入设备、 薄膜沉积设备、机械抛光设备等。

其中,光刻设备、沉积设备与薄膜沉积设备占晶 圆厂设备支出的比例最大,一般可以达到总设备支出的 50%以上。

后道工艺(封 装测试)可以大致分为背面减薄、晶圆切割、贴片、引线键合、模塑、电镀、切筋 /成型和终测等 8 个主要步骤,主要涉及减薄机、贴片机、注塑机、电镀设备与退 火炉等设备。

半导体产业的发展衍生出可观的半导体设备市场,主要包括光刻机、刻蚀机、 薄膜沉积设备、离子注入机、测试机、分选机、探针台等设备,属于半导体行业产 业链的技术先导者。

应用于集成电路领域的设备通常可分为前道工艺设备(晶圆制 造)和后道工艺设备(封装测试)两大类。其中,晶圆制造设备的市场规模占集成 电路设备整体市场规模的 80%以上。

在前道晶圆制造中,共有七大工艺步骤,分 别为氧化/扩散、光刻、刻蚀、薄膜生长、离子注入、清洗与抛光、金属化。

所对 应的设备主要包括氧化/扩散设备、光刻设备、刻蚀设备、薄膜沉积设备、离子注 入设备、清洗设备、机械抛光设备等,其中光刻设备、刻蚀设备、薄膜沉积设备是 集成电路前道生产工艺中的三大核心设备。

全球半导体市场持续景气,驱动设备行业整体规模快速增长。2011 年以来, 全球市场半导体市场规模持续扩张。根据 WSTS 数据,2021 年全球半导体销售额 预计为 5559 亿美元,同比增长 26%。

半导体制造产业的繁荣带动晶圆制造、封装的需求,进而为设备厂商带来持续 的订单,带动设备市场的规模增长。

据 SEMI 统计,2021 年全球半导体设备销售 额预计为 1026 亿美元,同比增长 44%。而据中国半导体行业协会统计,2021 年 前三季度中国大陆半导体设备销售额为 215 亿美元,超过 2020 年全年。

目前,我国半导体设备整体仍依赖进口。根据中国电子专用设备工业协会数据 统计,2020 年国产半导体设备自给率约为 17.5%。如仅考虑集成电路设备,国内 自给率仅有 5%左右,在全球市场仅占 1-2%。

半导体设备严重依赖进口不仅影响 我国半导体产业的发展,更对我国息产业安全造成重大隐患。半导体制造国产化 势必带动设备国产化,国产设备进口替代趋势明显,替代空间可观。

伴随着国家近年对半导体产业不断的政策扶持、加大投入力度及部分民营企 业的兴起,国产半导体设备实现了从无到有、从弱到强的转变,使我国半导体产业 生态和制造体系得以不断完善。

中芯国际、华虹集团等晶圆厂已在 28nm 工艺节 点上成功取得量产逐步发展 14nm 及以下制程工艺,逐渐拉近与国际制造巨头的 技术差距;

长江存储、长鑫存储等 IDM 模式存储芯片厂商已完成产线建设和晶圆 投产,进入产能扩张期。较为完备的产业生态和行业下游的快速发展,为国内半导 体设备企业技术水平和产业规模提高奠定基础。

中国大陆晶圆厂新建产能进程加快。2019 年以来,华虹半导体(无锡)项目、 广州粤芯半导体项目、长鑫存储 DRAM 项目均正式投产。

2020 年以来,国内包 括长江存储、广州粤芯、上海积塔、中芯南方、士兰微(厦门)、广东海芯项目等 产线也取得新进展。

半导体行业整体快速增长,终端半导体产品的不断迭代推动晶 圆厂开发新的工艺,为设备行业提供广阔的市场空间。较低的设备自给率下,本土 设备厂商迎来广阔的替代空间。

另一方面,在供应趋紧的环境下,下游晶圆厂对于国产半导体设备的友好度日 渐提升。近年来,由于国际形势日渐复杂,半导体产业供应链出现非商业因素的干 扰,国内晶圆厂采购半导体设备受到一定程度限制,影响企业正常的生产经营。

国家通过政策支持、重大科技项目引导、产业基金投资等多种方式,鼓励半导 体设备厂商与晶圆厂协同发展,共同构建本地产业链合作。

半导体设备厂商逐步获 得进入下游晶圆厂产线进行设备验证的机会,及时掌握晶圆厂的技术需求,有针对 性的对设备进行研发、升级,产品技术性能及市场占有率均得到大幅提高。

薄膜设备种类繁多

薄膜沉积是指在硅片衬底上沉积一层待处理的薄膜材料。所沉积薄膜材料可 以是二氧化硅、氮化硅、多晶硅等非金属以及铜等金属。

薄膜沉积设备主要负责各 个步骤当中的介质层与金属层的沉积,薄膜沉积工艺的不断发展,形成了较为固定 的工艺流程。

根据不同的应用演化出了 CVD(化学气相沉积)设备、PVD (物理气相沉积)设备和 ALD(原子层沉积)设备等不同工艺。

化学气相沉积(CVD)是通过化学反应的方式,利用加热、等离子或光辐射等 各种能源,在反应器内使气态或蒸汽状态的化学物质在气相或气固界面上经化学 反应形成固态沉积物的技术。

是一种通过气体混合的化学反应在硅片表面沉积薄 膜的工艺,可应用于绝缘薄膜、硬掩模层以及金属膜层的沉积。

CVD 设备由气相反应室(进气方向与样品表面成水平或垂直),能量系统(加 热或射频),反应气体控制系统,真空系统及废气处理装置等组成。硅片的表面及 邻近区域被加热来向反应系统提供附加的能量。

常用 CVD 设备包括 PECVD、 SACVD、APCVD、LPCVD 等,适用于不同工艺节点对膜质量、厚度以及孔隙沟 槽填充能力等的不同要求。

常压化学气相沉积(APCVD)是最早的 CVD 设备,结构简单、沉积速率高, 至今仍广泛应用于工业生产中。

低压化学气相沉积(LPCVD)是在 APCVD 的基 础上发展起来的,由于其工作压力大大降低,薄膜的均匀性和沟槽覆盖填充能力有 所改善,相比 APCVD 的应用更为广泛。

公司主营业务等离子体增强化学气相沉积设备(PECVD),在从亚微米发展到 90nm 的 IC 制造技术过程中,扮演了重要的角色,由于等离子体的作用,化学反 应温度明显降低,薄膜纯度得到提高,致密度得以加强,不伤害芯片已完成的电路。

次常压化学气相沉积(SACVD)主要应用于沟槽填充工艺。集成电路结构中, 沟槽孔洞的深宽比越来越大,SACVD 反应腔环境具有特有的高温(400-550℃)、 高压(30-600Torr)环境,具有快速填空(Gap fill)能力。

原子层沉积(ALD)可以将物质以单原子膜形式一层一层地镀在基底表面。从 原理上说,ALD 是通过化学反应得到生成物,但在沉积反应原理、沉积反应条件 的要求和沉积层的质量上都与传统的 CVD 不同。

相对于传统的沉积工艺而言, ALD 工艺具有自限制生长的特点,可精确控制薄膜的厚度,制备的薄膜具有均匀 的厚度和优异的一致性,台阶覆盖率高,特别适合深槽结构中的薄膜生长。

ALD 设备沉积的薄膜具有非常精确的膜厚控制和非常优越的台阶覆盖率,在 28nm 以下关键尺寸缩小的双曝光工艺方面取得了越来越广泛的应用。

目前, 28nm 以下先进制程的 FinFET 制造工艺中,难点在于形成 Fin 的形状,Fin 的有源区并不是通过光刻直接形成的,而是通过自对准双重成像技术(SADP,SelfAligned Double Patterning)工艺形成。

拓荆的薄膜沉积设备在逻辑芯片、3D NAND 及 DRAM 中均有应用。ALD 设 备主要应用于 SADP 工艺,SACVD 设备用于 STI、ILD 工艺,PECVD 设备用于钝 化层、IMD、DARC 等部分。

可以沉积 SiO2、SiN、SiON、BPSG、PSG、TEOS、 LokⅠ、LokⅡ、ACHM、ADCⅠ等介质材料薄膜。在 3D NAND 制造工艺中,需 通过立体堆叠增加集成度,每层均需要经过薄膜沉积工艺步骤。

市场需求持续增长

新建晶圆厂设备投资中,晶圆制造相关设备投资额占比约为总体设备投资的 80%,薄膜沉积设备作为晶圆制造的三大主设备之一,其投资规模占晶圆制造设备 总投资的 25%。随着晶圆厂扩产,薄膜沉积设备需求量相应增加。

根据 Maximize Market Research 数据统计,2017-2019 年全球半导体薄膜 沉积设备市场规模分别为 125 亿美元、145 亿美元和 155 亿美元,2020 年扩大 至约 172 亿美元,年复合增长率为 11.2%。

随着半导体行业整体景气度的提升, 全球半导体设备市场呈现快速增长态势,拉动市场对薄膜沉积设备需求的增加。

Maximize Market Research 预计全球半导体薄膜沉积设备市场规模在 2025 年 将从 2020 年的 172 亿美元扩大至 340 亿美元,保持年复合 13.3%的增长速度。

在薄膜沉积设备中,PECVD 占比最高,占比为 33%;ALD 设备目前占据薄 膜沉积设备市场的 11%;SACVD 是新兴的设备类型,属于其他薄膜沉积设备类目 下的产品,占比较小。

在整个薄膜沉积设备市场,属于 PVD 设备的溅射 PVD 和 电镀 ECD 合计占有整体市场的 23%。近年来全球 ALD 设备市场规模快速增长。

根据市场调查机构 Acumen research and condulting 预测,由于半导体先进制程产线数量增加,2026 年全 球 ALD 设备市场规模约为 32 亿美元。

根据国内半导体设备市场占全球市场 26.29%的比例和 2020 年全球薄膜沉积 设备 172 亿美元市场规模测算,2020 年国内薄膜沉积设备市场规模约为 45.22 亿 美元。其中,PECVD 市场规模约为 14.92 亿美元,ALD 市场规模约为 4.97 亿美 元。

从全球市场份额来看,薄膜沉积设备行业呈现出高度垄断的竞争局面,行业基 本由应用材料(AMAT)、ASMI、泛林半导体(Lam)、东京电子(TEL)等国际巨 头垄断。

2020 年,ALD 设备龙头东京电子(TEL)和先晶半导体(ASMI)分别占 据了 29%和 46%的市场份额;而应用材料(AMAT)则基本垄断了 PVD 市场,占 87%的比重,处于绝对龙头地位;

在 CVD 市场中,应用材料(AMAT)全球占比 约为 28%,连同泛林半导体(Lam)的 25%和 TEL 的 17%,三大厂商占据了全 球 70%的市场份额。

半导体设备国产替代加速

半导体设备市场主要由海外龙头垄断,但国内设备公司正在追赶。根据中国电 子专用设备工业协会的数据,2018 年中国的半导体专用设备销售额 109 亿元,当 时所有半导体设备整体自给率仅有 13%,亟待国产化替代。

一大批优秀的国内企 业正在崛起,北方华创、中微在刻蚀设备领域实现了我国零的突破;屹唐在去胶设 备领域实现较高份额的国产替代;盛美的湿法技术突破使得我国湿法设备的制造 能力得到显著提升。

受益于拓荆在薄膜沉积设备领域的技术积累,我国的半导体设备自给能力也得到了显著的提升。

据公开招标数据统计,在华虹、长江存储、中芯绍兴为代表三家主要晶圆厂中, 设备采招国产化率在 2021 年达到 20.91%。

在经历了全球贸易争端后,国内主要 晶圆厂逐渐重视国产供应链的培育,中芯绍兴项目、华力微电子、华虹半导体等厂 商的国产化率在近几年得到了显著的提升。

随着国内厂商不断青睐国产设备,中国 半导体设备厂将迎来国产替代的难得机遇。薄膜沉积设备方面,2021 年国产设备 中标占比 13%,低于整体设备国产化率,未来有更大国产替代空间。

在上述统计 样本中,2021 年公司薄膜沉积设备中标量占国产薄膜沉积设备的 50%,公司竞争 力日益凸显。

稀缺性薄膜设备供应商,三大品类纵深发展,PECVD 设备核心业务,独创性凸显

公司主要产品半导体薄膜沉积设备包括 PECVD 设备、ALD 设备及 SACVD 设 备三个系列。PECVD 设备系公司核心产品,是芯片制造的核心设备之一。

主要功 能是在将硅片控制到预定温度后,使用射频电磁波作为能量源在硅片上方形成低 温等离子体,通入适当的化学气体,在等离子体的激活下,经一系列化学反应在硅 片表面形成固态薄膜。

一颗芯片的制造过程中,涉及十余种不同材料的薄膜,各类电性能、机械性能 不同的薄膜构成了芯片 3D 结构体中不同的功能。

公司针对下游对于不同材料薄膜 PECVD 设备的需求,已研发并生产 16 种不同工艺型号的 PECVD 设备。

基于国家重大科技专项研究成果和工艺经验,公司面向集成电路各类芯片晶 圆制造大规模产线的现实需求,不断攻克技术难点、提升设备机械性能、优化薄膜 工艺性能。

在新产品新工艺型号研发、生产工艺改进、技术节点升级等方面形成了 一系列的技术成果,解决了半导体制造中纳米级厚度薄膜均匀一致性。

薄膜表面颗 粒数量少、快速成膜、设备产能稳定高速等关键难题,在保证实现薄膜工艺性能的同时,提升客户产线的产能,减少客户产线的生产成本。

公司布局 28-10nm 先进制程研发,投入 3.6 亿元预算研发 28nm 以下低介 电常数薄膜和硬掩膜等先进薄膜系列产品。

公司计划筛选合适的化学前驱体,应用 先进的液态源传输系统,以及定制化的反应腔室设计及关键部件设计,有效提升设 备稳定性和工艺表现,使低介电常数薄膜均匀性、折射率、介电常数等关键性能指 标均满足客户要求;

设计特殊的腔室,大幅提升薄膜性能、设备稳定性和颗 粒表现,使硬掩膜产品薄膜均匀性、折射率、消光系数、刻蚀选择性等关键性能指 标满足客户要求,预计达到国际先进水平。

ALD 设备聚焦先进制程需求

ALD 设备是一种可以将反应材料以单原子膜形式通过循环反应逐层沉积在基 片表面,形成对复杂形貌的基底表面全覆盖成膜的专用设备。

由于 ALD 设备可以 实现高深宽比、极窄沟槽开口的优异台阶覆盖率及精确薄膜厚度控制,实现了芯片 制造工艺中关键尺寸的精度控制,在结构复杂、薄膜厚度要求精准的先进逻辑芯片、 DRAM 和 3D NAND 制造中,ALD 是必不可少的核心设备之一。

ALD 设备主要 分为 PE-ALD 和 Thermal ALD 设备。PE-ALD 设备应用于沉积 SiO2、Si3N4、TiO2 薄膜,而 Thermal ALD 设备应用于沉积 Al2O3、AlN、TiN、TiSiN 薄膜。

公司已 量产了 PE-ALD 设备,正在研发 Thermal-ALD 设备。拓荆科技是国内领先的集成电路 ALD 设备厂商。

公司的等离子体增强原子层 沉积设备(PE-ALD),在公司 PECVD 设备核心技术的基础上,根据 ALD 反应原 理,结合理论分析及仿真计算,对反应腔内的气路、关键件、喷淋头等进行创新设 计公司的 ALD 设备可以沉积 SiO2 和 SiN 材料薄膜,目前已适配 55-14nm 逻辑 芯片制造工艺需求。

公司的 ALD HTM SiO2 薄膜沉积设备及工艺研发项目,计划开发能够满足 128 层 3D NAND 存储芯片制造工艺要求的高质量 SiO2 材料工艺型号 ALD 设 备。

在 PE-ALD 设备成功量产基础上,为满足 28nm 以下芯片制造所需的 Al2O3、 AlN 等金属化合物薄膜的工艺需要,公司正在开发能够满足 28nm 制程及以下的 Thermal ALD AlOx 工艺技术及成套设备,并与集成电路生产商进行合作,完成该 设备的测试、验证和量产。

该设备以高产能 PEALD 设备核心技术为基础,针对金 属薄膜沉积的特殊性,对反应腔模块及关键部件优化设计,实现成本更低,纯度更 高的金属薄膜的沉积,可用于沉积 AlOx/AlN/SnOx/TiN 等多种金属薄膜材料。 在 7nm 及以下制程中有着广泛的应用。

SACVD 设备国内独家量产

SACVD 设备的主要功能是在次常压环境下,通过对反应腔内气体压力和温度 的精确控制,将气相化学反应材料在晶圆表面沉积薄膜。

SACVD 设备的高压环境 可以减小气相化学反应材料的分子自由程,通过臭氧在高温下产生高活性的氧自 由基,增加分子之间的碰撞,实现优越的填孔(Gap fill)能力,是集成电路制造 的重要设备之一。

拓荆科技是国内唯一一家产业化应用的集成电路 SACVD 设备厂商。公司的 SACVD 设备可以沉积 BPSG、SAF 材料薄膜,适配 12 英寸 40/28nm 以及 8 英 寸 90nm 以上的逻辑芯片制造工艺需求。

其中,12 英寸 SA-300T 设备主要应用 于 40-28nm 制程 STI、ILD 工艺的晶圆制造,可以沉积 BPSG、SAF 等介质材料 薄膜。

8 英寸 SA-200T 设备主要应用于 90nm 以上制程 STI、ILD 工艺的晶圆制 造,可以沉积 BPSG、SAF 等介质材料薄膜。

公司生产的 SACVD 设备采用高质量 的 TEOS SiO2 和 BPSG 工艺,可依客户选择配置 1-3 路液态源,实现多种先进工 艺。目前已通过 S2 安全认证和 F47 标准检验。

公司正在研发深沟槽填充薄膜工艺产品,主要目标为研制应用于 28nm 及以 下技术节点的薄膜沉积设备,实现深宽比大于 5:1 的浅槽隔离、金属前介质层等沟 槽填充的薄膜工艺。

上下游供应链运营稳定,上游采购管理有效

公司建立了全球化的采购体系,随着本土供应链的不断成熟,给予了公司更多 的采购选择。上游供货商众多,货源分散,不存在过度依赖部分供应商的情况,重 点原材料供应得到有效控制。

2018 年至 2020 年公司前五大供应商的集中度分别 为 38.66%、38.78%和 39.75%,占比稳定。公司不存在向单个供应商采购比例超 过公司当年采购总额 50%或严重依赖少数供应商的情况。

公司主要原材料价格稳定,且有所下降,公司对供应链的管理进一步降低了成 本。2018 年以来,公司主要原材料采购价格大多数呈下降趋势,相比其主要竞争 对手在运营成本方面具有一定优势,随着产能的不断提升,降本优势将更加明显。

下游客户持续扩展

半导体专业设备领域专业壁垒高、验证难度大,而拓荆的核心技术受到大量半 导体龙头企业客户的认可。在与客户需求对接方面,公司的主要产品 PECVD、ALD 及 SACVD 设备已批量发往国内主要集成电路晶圆厂产线。

公司积极开拓国 际市场机会,已与某国际领先晶圆厂建立业务联系,于 2018 年发货一台 PECVD 设备用于其先进逻辑芯片制造研发产线,2020 年该厂向公司增订了一台 PECVD 设备用于其上述先进制程试产线。

国际龙头对公司产品的认可,为公司打开国际市 场奠定了基础

公司产品在客户端应用范围广泛,包括逻辑器件、DRAM 存储器、3D NAND 存储器、模拟器件、功率器件、微显示、微机电系统等。截至 2022 年 2 月,客户 端累计流片量超过 5000 万片。

公司产品生产线布局广泛,目前已在大陆和中国台湾 20 多个城市开设 40 余条生 产线,以便提供更快捷、更经济的技术支持,及时保障和满足客户需求。

公司为客 户提供定制化产品,满足客户差异化需求,降低客户生产成本,有利于本土客户扩 充产能,由此建立和巩固与客户稳定的合作关系。

伴随公司业务规模增长,销售渠道拓宽,下游客户集中度呈下降趋势。2020 年拓荆从第一大客户中芯国际取得的收入占比 45.73%,2021Q1-Q3 下降至 28.80%。

屹唐科技、睿力集成跻身公司前五大客户。随着公司的技术不断成熟, 有望扩展更大客户阵容。

技术与研发构筑核心竞争力,核心技术应用于量产,达到国际先进水平

公司拥有多项应用于实际生产的核心技术。先进薄膜工艺设备设计技术对于 反应腔进行必要的设计提升,从而实现所需要的薄膜性能。气体输运控制系统和等 离子体稳定控制技术使得气体分配均衡,生成薄膜厚度均匀。

反应腔腔内关键件设 计技术和反应腔温度控制技术,可以有效控制晶圆片间均匀性,提高设备的稳定性。

反应模块架构布局技术、气体高速转换系统和高产能平台技术可缩短生产时间,提 高产能。公司的核心技术相互配合,兼顾了薄膜的性能、稳定性与生产能力。

除反应模块架构布局技术和半导体制造系统高产能平台技术中的 3 项发明专 利来源于核心技术人员出资外,公司核心技术均来源于自主研发。

在 PECVD、ALD 及 SACVD 设备领域,公司已形成覆盖二十余种工艺型号的 薄膜沉积设备,满足下游客户晶圆制造产线多种薄膜沉积工艺需求。公司自主研发 形成的核心技术,已达到国际先进水平。

研发投入重点清晰,国家专项助力相关领域持续攻关

重点领域的持续投入将支撑公司相关领域的领先优势,助力公司保持优势并 突破新行业。公司仍在持续投入大量资金进行研发。

目前,公司正在研发的主要项 目达十余项,涉及项目预算金额达 7.14 亿元。研究方向集中在公司的三大薄膜沉 积设备。

其中,PECVD 设备方面的投入最大,2021 年 Q1-Q3 达 9702.2 万元, 占总投入的 74.89%;ALD 和 SACVD 设备投入增速快,2021 年 Q1-Q3 投入已 超过 2020 年全年水平。

02 专项补贴,助力攻关重点领域。公司所处的半导体专用设备是中国重点鼓 励发展的产业,为推动该产业的快速发展,政府的直接补贴不断加码,助力企业研 发与知识产权保护。

公司深度参与国家科研专项(“02 专项”),政府的科研专项计 划促进公司不断攻坚克难,获得新技术领域上的突破。

募投分析

本次募投项目投资总额 10 亿元,拟使用募集资金金额为 10 亿元。本次首次 公开发行股票所募集的资金扣除发行费用后将投资于以下 4 个项目。

包括高端半 导体设备扩产项目、先进半导体设备的技术研发与改进项目、ALD 设备研发与产 业化项目、补充流动资金,各项目投资总额分别为 0.80 亿元、3.99 亿元、2.71 亿 元、2.50 亿元,各项目拟使用募集资金金额分别为 0.80 亿元、3.99 亿元、2.71 亿元、2.50 亿元。

高端半导体设备扩产项目

本项目将在公司现有的半导体薄膜设备研发和生产基地基础上进行二期洁净 厂房建设、配套设施及生产自动化管理系统建设。二期洁净厂房建设主要为千级洁 净厂房,设计规模为 2,600 平方米左右。

先进半导体设备的技术研发与改进项目

本项目研发内容主要包括面向 28nm-10nm 制程 PECVD 设备的多种工艺型 号开发、面向 10nm 以下制程 PECVD 设备的平台架构研发及 UV Cure 系统设备 研发。

通过在集成电路生产厂商进行生产线验证,实现产品的产业化,进一步提升 产品技术水平和拓展产品应用领域,推动公司业务规模的持续增长。

ALD 设备研发与产业化项目

本项目拟在上海临港新片区购置整体厂房,进行装修改造,购置研发设备及生 产设备,建设新的研发及生产环境,项目实施主体为公司全资子公司拓荆科技(上 海)有限公司。

项目建成后,将作为公司 ALD 产品研发及产业化基地。项目拟通 过开展系列技术研发,基于公司现有 ALD 设备技术基础,开发面向 28nm-10nm 制程的 ALD 设备平台架构。

发展多种工艺机型,同步开发不同腔室数量的机台型 号,满足逻辑芯片、存储芯片制造不同的工艺需求,并进行规模化量产。

补充流动资金

公司拟以实际经营情况为基础,结合未来战略发展目标,以 2.5 亿元募集资金 补充流动资金。伴随公司业务规模持续扩张,产品线不断丰富,研发投入力度加大, 预计将出现一定的流动资金缺口。

本次补充流动资金到位后,将增强公司的持续发 展能力和抗风险能力。本次补充流动资金将成为公司在研发团队建设、业务拓展和 日常营运方面的重要资金来源,提高公司偿债能力,优化财务结构,提升核心竞争 力。

盈利预测与投资分析

拓荆科技专注于薄膜沉积设备的设计和研发,主要产品有 PECVD 设备、ALD 设备、SACVD 设备等。公司共推出了十余款设备,涵盖了薄膜沉积设备的多个技 术路线。

目前公司的整体业绩处于爆发期,营收、毛利、净利同步快速增长。公司 产品竞争壁垒突出,主攻 PECVD 设备,目前已成为中国半导体薄膜沉积设备领域 的领军企业。

受益下游晶圆厂建厂高资本开支推动及国产替代趋势,我们认为公司 业绩将迎来持续放量。

业务拆分

PECVD 设备受益于公司多年的技术积累,伴随国内晶圆厂的扩产,开始逐 渐放量。我们认为,公司的产品具备较强的国产替代能力,因此营收将维持较高增 速。

预测 2022-2024 年收入 10.46/16.26/23.69 亿元,同比增长 54.9/55.4/45.7%。 毛利率方面,伴随业务成熟 PECVD 设备毛利率逐年上升,我们预测 2022-2024 年分别为 43.4/43.9/44.4%。

SACVD 设备当前收入规模仍较小,但未来增长潜力大。预计 2022-2024 年收入 1.00/1.96/2.79 亿元,同比增长 143.0/96.0/42.5%

毛利率方面,2021 年单台设备销售毛利率 63%,预计未来伴随销量增加逐步趋近设备业务平均水平, 2022-2024 年分别为 50.0/48.0/45.0%。

ALD 设备已具备一定的国产替代能力,早期收入基数较低,销售情况不稳 定。预测 2022-2024 年收入 0.80/1.50/2.52 亿元。毛利率方面,2021 年为 44.2%, 处于合理水平,我们预计 2022-2024 年维持这一水平。

其他业务主要是设备有关的备品备件销售及技术服务,有望伴随设备销售同 步增长,预计2022-2024年收入0.20/0.31/0.43亿元,同比增长60.0/50.0/40.0%。 毛利率方面,预计维持 50%左右。

费用率预测

销售费用公司 2020-2021 年销售费用呈下降趋势,主要由于对国内主要客 户都实现了覆盖,收入增长摊薄费用率。

基于收入高速增长的假设,预计未来销售 费率将继续摊薄,2022-2024 年销售费用率分别为 12.0/11.5/11.0%。

管理费用率公司管理体系高效,管理费用率较低。预计管理费用率保持稳定, 2022-2024 年管理费用率分别为 5.6/5.5/5.5%。

研发费用率公司 2021 年研发费用率高达 38%,未来有望在保持高投入的 基础上有所摊薄,预计 2022-2024 年研发费用率分别为 30.0/28.0/25.0%。

财务费用公司无长短期借款,考虑 IPO 融资获得的现金存款利息增加利息 收入,预计 2022-2024 年财务费用率分别为-1.6/-1.6/-1.2%。

投资分析

拓荆作为国内半导体薄膜设备龙头,具有较强的自主开发能力和市场竞争力。


温馨提醒:用户在赢家聊吧发表的所有资料、言论等仅代表个人观点,与本网站立场无关,不对您构成任何投资建议。本文中出现任何联系方式与本站无关,谨防个人信息,财产资金安全。
点赞8
发表评论
输入昵称或选择经常@的人
聊吧群聊

添加群

请输入验证信息:

你的加群请求已发送,请等候群主/管理员验证。

时价预警 查看详情>
  • 江恩支撑:116.59
  • 江恩阻力:131.16
  • 时间窗口:2024-07-11

数据来自赢家江恩软件>>

本吧详情
吧 主:

虚位以待

副吧主:

暂无

会 员:

0人关注了该股票

功 能:
知识问答 查看详情>