登录 注册 返回主站
F10资料 推荐产品 炒股必读

全球薄膜沉积设备龙头拓荆科技

  • 作者:一腔孤勇pfm
  • 2022-07-27 17:25:56
  • 分享:


管理团队国际化薄膜沉积设备研发技术队伍

拓荆科技已经建成了一支国际化、专业化的半导体薄膜沉积设备研发技术团队。公司创始团队以归 国海外专家为核心,立足核心技术研发,积极引进海外高层次人才、自主培养本土科研团队。

公司国际化专业化的高级管理团队、全员持股的激励制度,吸引了大量具有丰富经验的国内外半导 体设备行业专家加入公司,在整机设计、工艺设计、软件设计等方面做出突出贡献。

公司自设立以 来,自主培养本土科研团队,随着多项产品的研发成功,公司本土科研团队已成长为公司技术研发 的中坚力量。截至 2021 年 9 月,公司研发人员共有 189 名,占公司员工总数的 44.06%。

公司第一大股东为国家集成电路基金。国家集成电路基金直接持有公司总股本的 26.48%,其实际控 制人为中华人民共和国财政部。

控制公司 5%以上股份或表决权的股东,包括国家集成电路基金、国 投上海、中微公司、嘉兴君励及其关联方盐城燕舞、润扬嘉禾,姜谦及其一致行动人(吕光泉、张先 智、张孝勇、刘忆军、凌复华、吴飚、周仁、沈阳盛腾、沈阳盛旺、沈阳盛全、沈阳盛龙、芯鑫和、 芯鑫全、芯鑫龙、 芯鑫成、芯鑫旺、芯鑫盛、芯鑫阳)。

主营业务 PECVD、SACVD、ALD

公司正处于高成长阶段

业绩表现2021 年收入 7.58 亿元增长 74%,扣非净利润-0.82 亿元;2018-2021 年收入复合增速 120%。

业绩指引2022 年一季度收入指引 1-1.2 亿元,同比增长 73%-108%,扣非利润-0.3~-0.16 亿元(上年同 期为-0.24 亿元)。

收入结构以 PECVD 为主

公司主要从事半导体薄膜沉积设备的研发、生产、销售和技术服务。主要产品包括等离子体增强化 学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常压化学气相沉积(SACVD)设备三个产品系列,。

已广泛应用于国内晶圆厂 14nm 及以上制程集成电路制造产线,并已展开 10nm 及以下制程产品验证 测试。公司 2020 年收入中 PECVD 占 96%,且 12 英寸设备贡献了超 3/4 的 PECVD 收入。

客户结构国内外 12 英寸一线客户为主

拓荆的产品已广泛用于中芯国际、华虹集团、长江存储、长鑫存储、厦门联芯、燕东微电子等国内 主流晶圆厂产线。

公司凭借长期技术研发和工艺积累,打破国际厂商对国内市场的垄断,与国际寡 头直接竞争。公司在研产品已发往某国际领先晶圆厂参与其先进制程工艺研发。

薄膜沉积拓荆可覆盖大部分 PECVD 工艺,薄膜沉积定义沉积待处理薄膜材料

芯片是微型结构体,其内部结构是 3D 立体式形态,衬底之上的微米或纳米级薄膜构成制作电路的功 能材料层。 薄膜沉积是指在硅片衬底上沉积一层待处理薄膜材料的工艺。

薄膜沉积技术是以各类适当化学反应 源在外加能量(包括热、光、等离子体等)的驱动下激活, 将由此形成的原子、离子、活性反应基 团等在衬底表面进行吸附,并在适当的位臵发生化学反应或聚结,渐渐形成几纳米至几微米不等厚 度的金属、介质、或半导体材料薄膜。

薄膜的技术参数直接影响芯片性能。技术参数具体包括薄膜厚度、均匀性、光学系数、机械应力、 颗粒度等性能指标,以及芯片封装后的可靠性、生命周期等。

薄膜沉积分类CVD、PVD、ALD、电镀

薄膜沉积主要负责各个步骤当中的介质层与金属层的沉积,按薄膜沉积技术原理分类包括 CVD(化 学气相沉积)、 PVD(物理气相沉积)、电镀和 ALD(原子层沉积),所沉积薄膜材料可以是二氧 化硅、氮化硅、多晶硅等非金属以及铜、钨、铝、钌、钼等金属。

CVD(化学气相沉积)是通过化学反应的方式,利用加热、等离子或光辐射等各种能源,在反应器 内使气态或蒸汽状态的化学物质在气相或气固界面上经化学反应形成固态沉积物的技术。

是一种通 过气体混合的化学反应在硅片表面沉积薄膜的工艺,可应用于绝缘薄膜、硬掩模层以及金属膜层的 沉积。

CVD 设备由气相反应室(进气方向与样品表面成水平或垂直)、能量系统( 加热或射频)、反应气 体控制系统、真空系统、废气处理装臵等六大部分组成。

CVD 设备包括 PECVD、SACVD、APCVD、LPCVD 等,适用于不同工艺节点对膜质量、厚度以及孔隙 沟槽填充能力等的不同要求。

拓荆可覆盖 16 种 PECVD 工艺、3 种 SACVD 工艺

根据招股书,拓荆已研发并生产 16 种不同工艺的 PECVD 设备;已量产 PE-ALD、正在研发 Thermal-ALD 设备;已研发并生产 3 种不同工艺的 SACVD 设备。

PECVD收入占比 9 成,可覆盖大部分工艺

PECVD 设备是在芯片制造的薄膜沉积工艺中运用最广泛的设备种类

PECVD 设备的主要功能是在将硅片控制到预定温度后,使用射频电磁波作为能量源在硅片上方形成 低温等离子体,通入化学气体,在等离子体的激活下,经一系列化学反应在硅片表面形成固态薄膜。

相比传统的 CVD 设备,PECVD 设备在相对较低的反应温度下形成高致密度、高性能薄膜,不破坏已 有薄膜和已形成的底层电路,实现更快的薄膜沉积速度。

公司是国内唯一一家产业化应用的集成电路 PECVD 设备厂商,已配适 180-14nm 逻辑芯片、19/17nm DRAM 及 64/128 层 FLASH 制造工艺需求,产品能够兼容 SiO2、SiN、SiON、BPSG、PSG、TEOS、LokⅠ、 LokⅡ、ACHM、ADCⅠ等多种反应材料。

拓荆的 PECVD 以 12 英寸产品为主,包括 PF-300T、PF-300T eX、PF-300T pX、NF-300H;8 英寸 PECVD 产品型号为 PF-200T。

SACVD出色的沟槽填充能力并具备较快的填充速率

SACVD 设备主要功能是在次常压环境下,通过对反应腔内气体压力和温度的精确控制,将气相化学 反应材料在晶圆表面沉积薄膜。

公司在 PECVD 设备技术平台的基础上,通过对多腔室负载反应腔系统、精密冷却控制系统及均衡控 温陶瓷盘的设计,实现了出色的沟槽填充能力并保证了较快的填充速率。

对反应腔底部的抽气设计, 减少了颗粒数量,延长了设备维护周期,提高了设备使用效率。

公司的 SACVD 设备可以沉积 BPSG、SAF、SA TEOS 材料薄膜,适配 12 英寸 40/28nm 以及 8 英寸 90nm 以上的逻辑芯片制造工艺需求。

拓荆科技的 SACVD 设备型号有 12 英寸 SACVD 设备 SA-300T、8 英寸 SACVD 设备 SA-200T。

ALD 设备PE-ALD 设备成功量产,Thermal ALD 设备正在研发

ALD 设备是一种可以将反应材料以单原子膜形式通过循环反应逐层沉积在基片表面,形成对复杂形 貌的基底表面全覆盖成膜的专用设备。

在结构复杂、薄膜厚度要求精准的先进逻辑芯片、DRAM 和 3D NAND 制造中,ALD 是必不可少的核心 设备之一。

由于 ALD 设备可以实现高深宽比、极窄沟槽开口的优异台阶覆盖率及精确薄膜厚度控制, 实现了芯片制造工艺中关键尺寸的精度控制。

ALD 设备 主要分为 PE-ALD 和 Thermal ALD PE-ALD(等离子体增强原子层沉积设备)在 PECVD 设备核心技术的基础上,对反应腔内的气 路、关键件、喷淋头等进行创新设计。可以沉积 SiO2 和 SiN 材料薄膜,目前已适配 55-14nm 逻 辑芯片制造工艺需求。

Thermal ALD在 PE-ALD 设备成功量产基础上,为满足 28nm 以下芯片制造所需的 Al2O3、AlN 等 金属化合物薄膜的工艺需要,公司正在研发 Thermal ALD 设备。

可覆盖市场规模全球约 75 亿美元 ,国内约 RMB100 亿元,全球半导体设备行业 迈上千亿美元台阶

据 SEMI 统计,2014 年全球半导体设备销售规模为 375 亿美元, 2020 年全球半导体设备销售额达 712 亿美元,同比增长 19%,2021年达到 1026 亿美元,同比增长 44%。

中国大陆半导体设备市场规模加速增长。根据 SEMI 数据,2021 年中国大陆半导体设备市场规模 296 亿美元,同比增长 58%,而 2011 年 37 亿美元,2016 年为 64 亿美元,过去 10 年复合平均增速 23%, 过去 5 年平均增速 36%。

中国大陆已成为全球第一大半导体设备市场。根据 SEMI 数据,中国大陆半导体设备市场规模 296 亿 美元,占全球市场的 29%,居全球第一位,而 2008 年仅占全球的 6%,是当年最小的一个地区市场。

薄膜沉积设备市场规模200 亿美元

按照半导体产业链的不同制造环节分类,硅片生长与加工设备占半导体设备的 2%,掩模版制造设备 占 2%,晶圆制造工艺设备占 80%,封装设备占 5%,测试设备占 8%,晶圆厂辅助设备占 2.5%。

根据 SEMI 预计,2022 年全球晶圆厂的设备资本开支将达到 1070 亿美元,同比增长 18%。而这一口径 在 2020 年是 600-650 亿美元,2021 年是 900 亿美元上下。

根据 2021 年中微公司业绩说明会资料,2021 年薄膜沉积设备在晶圆制造工艺设备中的占比是 20%, 其中 PVD 占 5%,CVD 占 14%,电镀占比 1%。

薄膜沉积设备CVD 占比 77%,PECVD 占 CVD 的 43%。 根据招股书资料,CVD 在薄膜沉积设备中占比 77%;PECVD在薄膜沉积设备中占比 33%,在 CVD 中占比 43%;

ALD在薄膜沉积设备中占比 11%,在 CVD 中占比 14%; SACVD 等其他薄膜沉积设备在薄膜沉积设备中占比 6%,在 CVD 中占比 8%。

拓荆可覆盖市场规模推算

我们根据 2022 年 SEMI 预计的 WFE 市场规模 1070 亿美元,以及 CVD 在 WFE 市场中的占比 14%-15%推 算,2022 年 CVD 全球市场规模约为 150 亿美元。

再根据 PECVD、ALD、SACVD 在 CVD 设备市场中的占比依次是 43%、14%、<8%,合计占比约为 1/2, 因此推算拓荆科技目前三大类产品可覆盖的全球市场规模约为 75 亿美元。

中国大陆的 CVD 占全球 CVD 市场的比例,如果参考中国大陆的半导体设备市场规模约占全球 20%-30%,推算中国大陆的 CVD 市场规模约为 30-45 亿美元,对应中国大陆的 PECVD、ALD、SACVD 合计的市场规模约为 15-22.5 亿美元。

市场地位本土产线上市占率 PECVD 17%,SACVD 25%,全球薄膜沉积设备行业高度垄断

全球薄膜设备主要被应用材料、泛林半导体、TEL、ASM 垄断 ALD 东京电子( TEL) 、先晶半导体( ASMI)、KE; PVD应用材料( AMAT)处于绝对龙头地位。北方华创仅在国内部分主流产线上占比 20%-30%;

CVD应用材料( AMAT)全球占比约为 30%,泛林半导体( Lam) 21%、 TEL 19%,三大厂商占 据全球 70%的 CVD 市场份额。

拓荆在本土产线上的 PECVD 市占率显著提升

根据公开招标息披露,2019-2020 年拓荆的 PECVD 设备中标机台数量占长江存储、上海华力、无锡 华虹和上海积塔等 4 家招标总量的 16.65%,拓荆的 SACVD 占到 25%。

拓荆是目前国内唯一实现 PECVD 产品放量增长的本土企业

国内半导体设备研发 CVD 产品基本互补。根据各公司公告,国内目前涉足半导体 CVD 设备的企业包 括拓荆、华创、中微、盛美,其中拓荆布局 PECVD、单片 ALD、SACVD

北方华创布局管式 LPCVD、 APCVD、Thermal ALD、8 英寸 PECVD,中微布局金属 CVD、单片 ALD,盛美布局管式 LPCVD、管式 ALD

PECVD 布局拓荆科技、北方华创; 单片 ALD 布局拓荆科技、北方华创、中微半导体(筹备中); SACVD 布局拓荆科技。

盈利能力毛利率已提升至同业平均水平

2018-2020 年公司综合毛利率依次是 31.7%、31.9%、34.1%。这是由于过去收入体量较小,高额的 demo 验证费用、研发费用及高端人才引进成本,以及尚未具备制造企业规模效应等导致毛利率较低。

但随着 2021 年整体收入体量跨越 1 亿美元级别,毛利率已提高至 44%左右的国内外行业平均水平。

2021 年,国际半导体设备企业应用材料、泛林、东京电子、先晶半导体的平均毛利率 45.5%,国内半 导体设备企业北方华创、中微公司、盛美上海、芯源微的平均毛利率 41.2%。

2021 年拓荆扣非净利率为负,低于国内 4 家半导体设备企业 9.6%,更低于国际 4 家半导体设备企业 24.6%。主要原因是 2021 年拓荆的研发费用率高达 38%,管理费用率高达 12.8%,均显著高于国际半 导体设备企业龙头。

研发优势自主研发核心技术达到国际先进水平

拓荆 8 大核心技术包括先进薄膜工艺设备设计技术、反应模块架构布局技术、半导体制造系统高 产能平台技术、等离子体稳定控制技术、反应腔腔内关键件设计技术、半导体沉积设备气体输运控 制系统、气体高速转换系统设计技术、反应腔温度控制技术等。

拓荆的 ALD、SACVD 共 3 个在研项目,合计预算 1.50 亿元。

盈利预测

预计公司 2022 收入有望达到 12 亿元以上,未来三年复合平均增速 50%,公司毛利率稳定在 40%-50% 之间,净利润随着规模效应而稳步攀升。 支撑公司收入未来 3 年高速增长的主要因素包括

公司在手订单充足公司合同负债 2021 年底达到 4.88 亿元,相比 2020 年底的 1.34 亿元有大幅增 长;公司 2021 年存货 9.53 亿元,2020 年底仅为 5.12 亿元;

PECVD 市占率提升公司 PECVD 在部分本土产线市占率仅 20%左右,竞争对手主要是 Applied Materials、Lam Research,未来还有较大上升空间;

受益于本土产线的资本开支扩张根据中2021 年业绩说明会资料,目前国内仍有 120 万片/ 月的产能规划待建,对应设备采购总额 4000 亿元以上。

国内外客户开拓2020 年前五大客户收入占比 84%,其他客户合计收入占比仅 16%;2021 年前 三季度前五大客户收入占比 92%,其他客户收入占比仅为 8%。这表明公司在其他客户如士兰集 科、粤芯等众多本土客户以及国际客户中,还有很大的业务拓展潜力。

采用 PS 估值方法的三个理由

PS 估值方法参考的营业收入规模,更能体现国产半导体设备与材料公司的核心产品被下游客户 认可的程度及获取重复订单的能力。

由于国内半导体设备与材料行业起步较晚,国产半导体设 备与材料的新产品进入客户的工艺验证周期较长,且晶圆厂客户通常考虑到试错成本高,因此 晶圆厂用国产品牌替代进口品牌的速度也比较慢。

从这个角度看,对于国产半导体设备与材料 公司来说,其产品一旦通过了客户的认证并可持续获得重复订单,表明该企业核心产品的竞争 力开始体现出来,其收入规模的大小就代表着国产半导体设备与材料企业综合实力的高低。

国产半导体设备与材料早期企业 PE 估值不能代表企业的公允价值。由于国内半导体设备与材料 行业起步较晚,国产的半导体设备、材料、软件均处于起步阶段。

国产品牌都处于从 0 到 1 实 现零的突破以后的发展时期,制造成本的持续投入、高额的研发成本投入、国内外高端人才的 聘用等,都将在短期内影响其盈利能力甚至导致亏损。

PE 估值并不能客观反应我国现阶 段半导体设备、材料、软件企业的公允价值,拓荆科技 2021 年净利润 0.68 亿元,但扣非净利润-0.82亿元处于亏损状态。

2022 年扣非净利润能 否实现扭亏还依赖于公司营收规模的最终实现,净利润对政府补贴的依赖程度暂时还比较高。 针对拓荆科技的估值如果采用 PE 估值则会出现虚高的估值倍数,不具备参考性。


温馨提醒:用户在赢家聊吧发表的所有资料、言论等仅代表个人观点,与本网站立场无关,不对您构成任何投资建议。本文中出现任何联系方式与本站无关,谨防个人信息,财产资金安全。
点赞2
发表评论
输入昵称或选择经常@的人
聊吧群聊

添加群

请输入验证信息:

你的加群请求已发送,请等候群主/管理员验证。

时价预警 查看详情>
  • 江恩支撑:116.59
  • 江恩阻力:131.16
  • 时间窗口:2024-07-11

数据来自赢家江恩软件>>

本吧详情
吧 主:

虚位以待

副吧主:

暂无

会 员:

0人关注了该股票

功 能:
知识问答 查看详情>