登录 注册 返回主站
F10资料 推荐产品 炒股必读

拓荆科技研究报告国产薄膜沉积设备龙头,成长动力充足

  • 作者:牛牛奔奔
  • 2022-06-25 09:55:05
  • 分享:

(报告出品方开源证券)

1、 国产薄膜沉积设备龙头,产品覆盖 PECVD/ALD/SACVD

1.1 公司概况深耕半导体薄膜沉积设备,营收高速增长

公司聚焦半导体薄膜沉积设备的生产和研发,主要产品有等离子体增强化学气相沉 积(PECVD)设备、原子层沉积(ALD)设备和次常压化学气相沉积(SACVD)设备 三个产品系列,2021 年营收分别占比 89.11%、3.78%、5.43%。公司是目前国内唯一一 家产业化应用集成电路 PECVD、SACVD 设备的厂商,产品已广泛应用于国内晶圆厂 14nm 及以上集成电路制造产线,并已展开 10nm 及以下制程产品验证测试。 公司成立于 2010 年,多次承担国家重大专项并获“中国半导体设备五强企业”称 号,2011 年首台 12 英寸 PECVD 到中芯国际验证,2013 年 PF-300T 产品线通过测 试,2014 年获得首批订单,2016 年首台 ALD 设备和 8 寸 PECVD 交付客户,2018 年 ALD 通过客户 14nm 产业化验证,2019 年 SACVD 研制成功并出厂到客户端, 2022 年 4 月,在科创板上市。

公司无控股股东和实际控制人,大基金为第一大股东。公司前三大股东分别为 国家集成电路基金、国投上海、中微公司,分别持股 19.86%、13.68%、8.40%。公司 6 名非独立董事中,国家集成电路基金有权提名 2 名,国投上海、中微公司分别有权 提名 1 名,姜谦及其一致行动人有权提名 2 名,均不足董事会席位一半,因此公司 不存在实际控制人。 公司分两批次设立了 11 个员工持股平台,实现了广泛的员工持股,以此吸引海 外专家和境内优秀人才,使员工与公司共享发展成果。

公司主要有三个子公司(1)拓荆北京,主要为华北区客户提供高端薄膜装备研 发、设备供应以及零部件备货等服务;(2)拓荆上海,是 ALD 设备研发与产业化项 目实施主体;(3)拓荆键科(持股 55%),主要从事高端半导体新兴工艺设备研发与 生产制造。公司最初由中科仪与姜谦设立,其他高管和核心技术人员也多有在诺发、Lam Research 等业内知名公司任职经历。公司已经建成了一支国际化、专业化的半导体 薄膜沉积设备研发技术团队。

收入端公司营收快速增长,近三年 CAGR 74%。受益于半导体设备行业快速 发展和公司技术的突破,公司产品不断通过客户验证,2021 年公司实现营收 7.58 亿 元,同比+74%;实现归母净利润 0.68 亿元,同比扭亏。2022Q1 已实现营收 1.08 亿 元,同比+86%,持续维持高增长。

收入结构(1)分产品PECVD 为营收的主要来源,SACVD、ALD 开始放量。PECVD 设备销量逐年增长,2020 年 PECVD 销量 31 台;2021Q1-Q3 销量 23 台。SACVD 在 2020 年和 2021Q1-Q3 分别售出 1 台。2021 年 PECVD 贡献了 6.75 亿元的营业收入, SACVD、ALD 年分别实现了 0.41 亿元、0.29 亿元的收入。2020 年 PF-300T 和 PF200T 分别贡献 3.21 亿元、0.97 亿元营收。

(2)分地区公司业务主要在中国大陆,2021 年中国大陆营收占比达 98.32%。 但是随着公司规模的扩大以及技术的成熟,未来有望拓展海外客户。(3)分季度公司下游客户,通常于年初作出全年的资本性支出计划,此后开 展采购、安装、调试、验收,导致公司大部分设备取得客户验收、确认收入的时点相对集中于下半年,尤其集中于 Q4,呈现一定的季节性。(报告来源未来智库)

盈利端毛利率稳步提升,已高于行业平均水平。公司毛利率持续提升,主要原 因为(1)随着公司技术水平、市场地位的提升,公司的议价能力有所提高,平均单 价有所上升;(2)随着公司产品工艺和制程的演进,公司的产品开始进入先进制程设 备市场,先进制程设备的市场价格较高,进而提高了毛利率;(3)随着销售规模的增 长,公司的规模经济效应开始显现,平均成本有所降低。 2021 年公司毛利率 44.01%,已高于行业平均值,盈利能力增强。公司销售、管 理、财务费用率常年维持在较低水平,研发费用率增长较快,主要是因为公司保持高 强度的研发投入,持续推进技术创新。

1.2 上下游关系原材料成本不断降低,客户集中度较高

上游直接材料为主要成本来源,公司供应链稳定,采购价格呈下降趋势。 公司主要成本来自于直接材料,其中直接材料 2019 到 2021Q1-Q3 占比分别为 91%、90%、94%。公司采购的原材料主要包括机械类、机电一体类、电气类、气体输送系统类、真 空系统类、附属设备等。供应商公司供应链稳定。公司上游前五大供应商的集中度不到 40%,避免了 过度依赖部分供应商的风险。近四年前五大供应商较为稳定,供应商和公司合作时 间长,合作关系友好,保障了原材料的供应。

原材料价格随着公司出货量的增长,原材料成本逐渐降低,未来规模优势下 成本有望进一步降低。以 2018 年原材料的价格为基础计算出价格指数后可以看到,由于公司供应链管理不断优化,与供应商保持长期的良好合作关系,出货量持续增 长,2019 年到 2021 年 Q3 公司原材料的采购价格呈现下降趋势。

客户集中度2021Q1-Q3 公司前五大客户分别是中芯国际、北京屹唐、长江存 储、华虹集团、睿力集成电路,占比 28.80%、28.11%、16.79%、9.88%、8.85%,合 计 92.43%。公司前五大客户集中度高主要是因为集成电路制造行业因资本投入大、 技术难度高,国内外主要集成电路制造商均呈现经营规模大但数量少的行业特征。产销量公司产销率低于 100%,主要由于公司的产品从生产完成并发货,到客 户验收完成销售的过程中,存在一定时长的验收周期,使产量大于销量。

2、薄膜设备市场空间大,国内薄膜沉积设备厂商将迎来黄金发展机遇

2.1 半导体行业景气带动设备需求增长,薄膜沉积是关键设备

全球半导体行业处于景气周期,中国半导体设备发展迅速。(1)半导体市场规 模据 WSTS 数据,2021 年全球半导体销售额达 5559 亿美元,同比+26%,且预计 2022 年同比增长 10.4%达 6135 亿美元;据 SIA 数据,2021 年中国半导体销售额达 1898 亿美元,同比+25%。中国半导体销售额占全球销售额的比例维持在 35%左右。 WSTS 预计 2022 年全球半导体市场规模将达到 6135 亿美元,同比增长 10.36%。(3) 半导体设备市场规模据 SEMI 统计,2021 年全球和中国半导体设备销售额分别为 1026 亿美元、296 亿美元,同比+44%、+58%,中国销售额全球占比提升至 29%。 SEMI 预计 2022 年全球半导体设备销售额达 1140 亿美元,同比增长 11.11%。

薄膜沉积设备是晶圆制造三大主设备之一。应用于集成电路领域的设备通常可 分为前道工艺设备(晶圆制造)和后道工艺设备(封装测试)两大类,薄膜沉积设备 市场规模占半导体设备的 20%。

全球薄膜沉积设备市场持续稳定增长。根据 Maximize Market Research 数据统 计,2021 年全球薄膜沉积设备市场规模为 190 亿美元,同比+10.5%,预计 2025 年有 望达到 340 亿美元,2021-2025 年 CAGR 达 15.7%。薄膜沉积是半导体制造过程中构造晶体管的关键步骤之一。薄膜沉积是指在硅 片衬底上沉积一层待处理的薄膜材料,逐层堆叠薄膜形成电路结构,包括半导体、介 质、金属/金属化合物三大类。薄膜涂层具不同特性,可用于改变或改善衬底的性能, 比如阻挡污染物和杂质渗透、增加或减少导电性/号传输、提高吸光率等。(报告来源未来智库)

按照薄膜沉积技术原理可以分为 CVD(化学气相沉积)、PVD(物理气相沉积) /电镀设备和 ALD(原子层沉积)。其中 (1)CVD 是通过化学反应的方式,利用加热、等离子或光辐射等各种能源,在 反应器内使气态或蒸汽状态的化学物质在气相或气固界面上经化学反应形成固态沉 积物的技术,是一种通过气体混合的化学反应在硅片表面沉积薄膜的工艺,可应用 于绝缘薄膜、硬掩模层以及金属膜层的沉积。常用的 CVD 设备包括 PECVD、SACVD、 APCVD、LPCVD 等,适用于不同工艺节点对膜质量、厚度以及孔隙沟槽填充能力等的不同要求。

(2)ALD 可以将物质以单原子膜形式一层一层地镀在基底表面的方法。ALD 工艺具有自限制生长的特点,可精确控制薄膜的厚度,制备的薄膜具有均匀的厚度 和优异的一致性,台阶覆盖率高,在结构复杂、薄膜厚度要求精准的先进逻辑芯片、 DRAM 和 3D NAND 制造中,ALD 是必不可少的核心设备之一。(3)PVD通过真空蒸镀和溅射等物理方法沉积金属或金属化合物薄膜,应用 最广泛的 PVD 是磁控溅射和离子化 PVD,主要用于后段金属互连层、阻挡层、硬 掩膜、焊盘等工艺。

PECVDPECVD 相比传统的 CVD 设备,PECVD 设备在相对较低的反应温度 下形成高致密度、高性能薄膜,不破坏已有薄膜和已形成的底层电路,实现更快的薄 膜沉积速度,是芯片制造薄膜沉积工艺中运用最广泛的设备种类。SACVDSACVD 设备的主要功能是在次常压环境下,通过对反应腔内气体压 力和温度的精确控制,将气相化学反应材料在晶圆表面沉积薄膜。SACVD 设备的高 压环境可以减小气相化学反应材料的分子自由程,通过臭氧在高温下产生高活性的 氧自由基,增加分子之间的碰撞,实现优越的填孔(Gap fill)能力,是集成电路制造 的重要设备之一。

PECVD是薄膜设备中占比最高的设备类型,占整体薄膜沉积设备市场的33%; ALD 占 11%;SACVD 是新兴的设备类型,属于其他薄膜沉积设备类目下的产品, 占比较小。在整个薄膜沉积设备市场,属于 PVD 的溅射 PVD 和电镀 ECD 合计占有 整体市场的 23%。

2.2 行业驱动力晶圆厂扩产+技术升级+国产替代,驱动薄膜设备需求

(1)晶圆厂扩产下游需求高度景气,晶圆厂积极扩产,资本开支持续攀升驱 动半导体设备需求增长。物联网,服务器,汽车电子,新能源等行业对半导体需求持 续提升,半导体行业资本开支持续提高以满足扩产需要。IC Insights 预测,2021 年全 球半导体行业资本开支规模约为 1539 亿美元,预计 2022 年将超过 1904 亿美元,同 比增长 24%。根据 SEMI,全球半导体制造商将在 2021 年年底开始建设 19 座新的高 产能晶圆厂,并在 2022 年再开工建设 10 座,设备支出预计将超过 1400 亿美元,以 满足市场对芯片的加速需求。中国大陆和中国台湾地区将在新晶圆厂建设方面处于 领先地位,各有 8 座,其次是美洲有 6 座,欧洲/中东有 3 座,日本和韩国各有 2 座。 以上 29 座晶圆厂每月可生产多达 260 万片晶圆(8 英寸等效)。晶圆厂大规模扩产定 将带动设备需求,半导体设备成长动力充足。

(2)技术升级芯片制程升级,薄膜沉积设备需求量增加。随着集成电路的持 续发展,晶圆制造工艺不断走向精密化,芯片结构的复杂度也不断提高,需要在更小的线宽上制造,工艺也越来越复杂。在 90nmCMOS 工艺,大约需要 40 道薄膜沉 积工序,而 3nmFinFET 工艺产线需要 100 道工序。随着产线的逐渐升级,晶圆厂对 薄膜沉积设备数量和性能的需求将继续随之提升。总体上看,越先进制程产线所需 的薄膜沉积设备数量越多。

在存储芯片领域,随着主流制造工艺已由 2D NAND 发展为 3D NAND 结构,结 构的复杂化导致对于薄膜沉积设备的需求量逐步增加。根据东京电子披露,薄膜沉 积设备占 FLASH 芯片产线资本开支比例从 2D 时代的 18%增长至 3D 时代的 26%。 随着 3D NAND FLASH 芯片的内部层数不断增高,对于薄膜沉积设备的需求提升的 趋势亦将延续。(3)国产替代薄膜沉积设备国外垄断,国产替代需求不断提升。行业基本由 应用材料(AMAT)、先晶半导体(ASMI)、泛林半导体(Lam)、东京电子(TEL) 等国际巨头垄断,国产替代空间较大,国内主要 IC 用薄膜沉积设备厂商为拓荆科技、 北方华创等。

3、公司技术实力强大,具备稀缺性,客户资源优质,成长动力充足

3.1 公司重视研发,技术实力强大

公司重视研发,研发费用率高于同行。公司 2021 年研发费用达 2.88 亿元,研发 费用率 38.04%,国外龙头半导体设备布局全面,故研发费用高于公司,但公司研发 费用率高于海外可比公司,同时高于国内半导体设备同行。

截至 2021 年 9 月,公司研发人员共有 189 名,占公司员工总数的 44.06%。公 司的研发技术团队结构合理,分工明确,专业知识储备深厚,产线验证经验丰富,是 奠定公司技术实力的基石,保障了公司产品的市场竞争力。

公司核心技术达到国际先进水平,产品关键性能参数已达到国际同类设备水平, 承担 6 项国家级及其他省部级重大科研项目,技术研发能力突出。公司的先进薄膜 工艺设备设计等核心技术,解决了半导体制造中纳米级厚度薄膜均匀一致性、薄膜 表面颗粒数量少、快速成膜、设备产能稳定高速等关键难题,在保证实现薄膜工艺性 能的同时,提升客户产线的产能,减少客户产线的生产成本。 公司产品总体性能和关键性能参数已达到国际同类设备水平。公司先后承担 “90-65nm 等离子体增强化学气相沉积设备研发与应用”、“1x nm 3D NAND PECVD 研发及产业化”等四项国家重大科技专项/课题,研发的 PECVD、ALD 及 SACVD 设 备系列化产品已累计发货超 150台,在集成电路制造及相关领域实现产业深度融合。

在 PECVD、ALD 及 SACVD 设备领域,公司已形成覆盖二十余种工艺型号的薄 膜沉积设备,满足下游客户晶圆制造产线多种薄膜沉积工艺需求。公司是国内唯一一家产业化应用的集成电路 PECVD 设备厂商。拓荆科技的 PECVD 以 12 英寸产品为主,包括 PF-300T、PF-300T eX、PF-300T pX、NF-300H; 8 英寸 PECVD 产品型号为 PF-200T。

针对下游对于不同材料薄膜 PECVD 设备的需求,公司已研发并生产 16 种不同 工艺型号的 PECVD 设备,适配 180-14nm 逻辑芯片、19/17nm DRAM 及 64/128 层 FLASH 制造工艺需求,产品能够兼容 SiO2、SiN、SiON、BPSG、PSG、TEOS、Lok Ⅰ、Lok Ⅱ、ACHM、ADC Ⅰ等多种反应材料。公司是国内唯一一家产业化应用的集成电路 SACVD 设备厂商。拓荆科技的 SACVD 设备型号有 12 英寸 SACVD 设备 SA-300T、8 英寸 SACVD 设备 SA-200T。公司的 SACVD 设备可以沉积 BPSG、SAF、SA TEOS 材料薄膜,适配 12 英寸 40/28nm 以及 8 英寸 90nm 以上的逻辑芯片制造工艺需求。

公司是国内领先的集成电路 ALD 设备厂商。拓荆科技的 ALD 设备型号有 12 英 寸 PEALD 设备 FT-300T、12 英寸 Thermal-ALD 设备 FT-300T、12 英寸 ALD 设备 FT-300H。公司 ALD 设备主要分为 PE-ALD 和 Thermal ALD。在 PE-ALD 设备成功量产公司 ALD 设备主要分为 PE-ALD 和 Thermal ALD。在 PE-ALD 设备成功量产基础上,为满足 28nm 以下芯片制造所需的 Al2O3、AlN 等金属化合物薄膜的工艺需 要,公司正在研发 Thermal ALD 设备。

公司持续进行先进技术的研发工作。在 PECVD 领域,公司持续完善升级以硬掩 膜为主的先进薄膜系列产品,提升先进制程覆盖率,包含针对 28nm-10nm 制程 PECVD 设备的多种工艺型号开发、面向 10nm 以下制程 PECVD 设备的平台构架研 发;在 ALD 领域,继续打磨 3D NAND 存储芯片技术,加大 Thermal 和大腔室 PEALD 产品布局力度;在 SACVD 领域,研发应用于 28nm 及以下技术节点的薄膜沉积设 备。(报告来源未来智库)

3.2 公司差异化布局薄膜沉积设备,客户资源优质

拓荆科技差异化布局 PECVD 与 SACVD,在国产厂商中产品布局具有一定稀缺 性。拓荆科技主攻 CVD 和 ALD,北方华创产品线覆盖 CVD、PVD 和 ALD 三类, 与拓荆科技在工艺、沉积材料类型均有不同,唯一具有相同工艺的 PECVD 设备应用 领域、技术原理与拓荆科技也不存在重叠和替代关系。而中微公司主要做的是 MOCVD 设备,应用于 LED 领域,和拓荆科技也不存在直接竞争关系。

公司产品受到大量半导体龙头企业客户认可。公司主要产品 PECVD、ALD、 SACVD 已批量应用到国内集成电路晶圆厂产线。公司产品在客户端应用范围广泛, 包括逻辑器件、DRAM 存储器、3D NAND 存储器、模拟器件、功率器件、微显示、 微机电系统等。

行业地位高且业务稳定性强,与拓荆科技合作时间长。中芯国际、华虹集团和 长江存储长期为公司前五大客户,占比分别为 29%、10%、17%。这三家客户均位居 行业前列且业务稳定性较强,公司分别于 2011 年、2017 年、2015 年与中芯国际、华 虹集团和长江存储开始合作,时间长,合作关系稳定。 公司三大设备的客户验证进展顺利。

(1)PECVD 设备随着中芯京城、中芯深 圳、中芯上海临港等产线陆续扩产,以及公司在长江存储等客户的份额提升,PECVD 持续放量;(2)ALD 设备公司在 2017 年发往 ICRD 一台,目前已量产;在 2021 年由存储/逻辑客户确认收入两台;(3)SACVD 设备公司在 2019 年发往北京燕东 一台 8 寸设备,且在 2020 年确认收入;在 2021 年出售第二台设备,可用于 2.5D 封 装、3D 先进封装领域。公司已履行的重大销售合同主要来源长期龙头客户,正在履 行的重大销售合同中有不少新客户,三大产品线增长动力充足。

3.3 产品持续推进验证,募资助力技术研发

非正式订单验收周期长,后续有待通过验证。截至 2021Q3,公司发出商品共计 74 台,其中尚未获取正式订单,仅通过 Demo 订单等形式安排发运的设备共计 25 台, 占比 33.78%。其中已有 3 台 Demo 机台约定后续签约价格,7 台获取正式订单,2 台 完成验收,10 台等待验收后定价。Demo 机台验收周期平均 23 个月,有望后续通过 客户验证,实现销售。PECVD 设备方面,公司大腔室 NF-300H 系列正在产业化验 证;ALD 方面,公司 PE-ALD FT-300T 实现产业化应用,Thermal-ALD 新品 FT-300T 持续研发,大腔室 FT-300H 产品正在产业化验证。当前拓荆产品主要面向国内产线, 在中国台湾市场处于先进制程研发产线、试产线验证阶段,获得订单量较小,未来在 提高国内市场份额的同时,也将逐步拓展中国台湾市场。

公司订单规模大幅增长,客户的薄膜沉积设备销售预收款项形成了较大的合同 负债。2022Q1 合同负债 7.8 亿元,持续增长,表明公司在手订单充足。募投扩产,加强研发,未来市场份额有望进一步提升。公司 IPO 计划募资 10 亿 元用于以下四个项目(1)高端半导体设备扩产项目,将在公司现有的半导体薄膜设 备研发和生产基地基础上进行二期洁净厂房建设、配套设施及生产自动化管理系统 建设。

(2)先进半导体设备的技术研发与改进项目,主要包括面向 28nm-10nm 制程 PECVD 设备的多种工艺型号开发、面向 10nm 以下制程 PECVD 设备的平台架构研 发及 UV Cure 系统设备研发。(3)ALD 设备研发与产业化项目,拟在上海临港新片 区购置整体厂房,建成后作为 ALD 产品研发及产业化基地,基于公司现有 ALD 设 备技术基础,开发面向 28nm-10nm 制程的 ALD 设备平台架构。(4)补充流动资金。 公司实际募资 22.73 亿元,未来市场份额有望进一步提升。

(本文仅供参考,不代表我们的任何投资建议。如需使用相关息,请参阅报告原文。)

详见报告原文。   

精选报告来源【未来智库】。


温馨提醒:用户在赢家聊吧发表的所有资料、言论等仅代表个人观点,与本网站立场无关,不对您构成任何投资建议。本文中出现任何联系方式与本站无关,谨防个人信息,财产资金安全。
点赞1
发表评论
输入昵称或选择经常@的人
聊吧群聊

添加群

请输入验证信息:

你的加群请求已发送,请等候群主/管理员验证。

时价预警 查看详情>
  • 江恩支撑:138.92
  • 江恩阻力:156.29
  • 时间窗口:2024-06-19

数据来自赢家江恩软件>>

本吧详情
吧 主:

虚位以待

副吧主:

暂无

会 员:

0人关注了该股票

功 能:
知识问答 查看详情>