登录 注册 返回主站
F10资料 推荐产品 炒股必读

拓荆科技(688072)——带你看新股系列(55)

  • 作者:清凉一夏hxp
  • 2022-04-14 17:38:19
  • 分享:

拓荆科技半导体设备,可比公司截止至2022年4月1日、1年前、2年前PS分别为21、26、36倍。假定上市后市销率维持在21倍,以2021年营业收入7.58亿元计算,市值159亿元,对应价格126元。

关注点8家以半导体设备为主业的上市公司中唯一扣非为负数的标的。

想象点晶圆制造薄膜沉积设备国产龙头

一、基本概况

1、基本介绍:拓荆科技股份有限公司成立于2010年,注册地辽宁省沈阳市浑南区水家900号。公司聚焦的半导体薄膜沉积设备与光刻机、刻蚀机共同构成芯片制造三大主设备。公司主要产品包括等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常压化学气相沉积(SACVD)设备三个产品系列,已广泛应用于国内晶圆厂14nm及以上制程集成电路制造产线,并已展开10nm及以下制程产品验证测试。拓荆科技是国内唯一一家产业化应用的集成电路PECVD、SACVD设备厂商。

2、财务情况:全球和中国半导体设备行业需求增长,为公司持续发展和业绩增长提供了较为有利的产业环境。随着我国半导体产业的逐步成熟,国内晶圆制造厂商在采购半导体专用设备时,开始注重供应链安全和成本控制。因此,产品性价比高、能满足特定产品个性化需求并能提供及时、快速售后服务的国产半导体设备成为国内各大半导体制造商的重要战略选择,2019年以来国内下游晶圆厂纷纷扩产,带来公司设备销量的增长。研发费用较高是目前公司亏损的主要原因。

预计2022年一季度公司营业收入10,000.00至12,000.00万元,较上年同比变动73.19%至107.82%;归属于母公司股东的净利润为-2,000.00至-700.00;扣除非经常性损益后归属于母公司股东的净利润-3,000.00至-1,600.00。



二、主营业务及行业

1、主营业务公司主要产品包括等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常压化学气相沉积(SACVD)设备三个产品系列,已广泛应用于国内晶圆厂14nm及以上制程集成电路制造产线,并已展开10nm及以下制程产品验证测试。

公司的产品已适配国内最先进的28/14nm逻辑芯片、19/17nmDRAM芯片和64/128层3DNANDFLASH晶圆制造产线。其中,PECVD设备已全面覆盖逻辑电路、DRAM存储、FLASH闪存集成电路制造各技术节点产线多种通用介质材料薄膜沉积工序,并研发了LokⅠ、LokⅡ、ACHM、ADCⅠ等先进介质材料工艺,拓宽公司PECVD产品在晶圆制造产线薄膜沉积工序的应用。


公司产品已广泛用于中芯国际、华虹集团、长江存储、长鑫存储、厦门联芯、燕东微电子等国内主流晶圆厂产线,打破国际厂商对国内市场的垄断,与国际寡头直接竞争。


2、产业链薄膜沉积设备作为集成电路晶圆制造的核心设备,沉积的薄膜是芯片电路中的功能材料层。

应用于集成电路领域的设备通常可分为前道工艺设备(晶圆制造)和后道工艺设备(封装测试)两大类。其中,晶圆制造设备的市场规模占集成电路设备整体市场规模的80%以上。在前道晶圆制造中,共有七大工艺步骤,分别为氧化/扩散、光刻、刻蚀、薄膜生长、离子注入、清洗与抛光、金属化,所对应的设备主要包括氧化/扩散设备、光刻设备、刻蚀设备、薄膜沉积设备、离子注入设备、清洗设备、机械抛光设备等,其中光刻设备、刻蚀设备、薄膜沉积设备是集成电路前道生产工艺中的三大核心设备。



半导体设备投资占比



3、市场规模半导体设备行业在下游快速发展的推动下,保持快速增长。根据SEMI统计,2020年中国大陆地区半导体设备销售规模达187.2亿美元,同比增长39%,首次超过中国台湾地区,成为全球第一大半导体设备市场。

根据中国电子专用设备工业协会数据统计,2020年国产半导体设备销售额约为213亿元,自给率约为17.5%。如仅考虑集成电路设备,国内自给率仅有5%左右,在全球市场仅占1-2%,技术含量最高的集成电路前道设备则自给率更低。

半导体设备严重依赖进口不仅影响我国半导体产业的发展,更对我国息产业安全造成重大隐患。半导体制造国产化势必带动设备国产化,国产设备进口替代趋势明显,替代空间巨大。



2017-2019年全球半导体薄膜沉积设备市场规模分别为125亿美元、145亿美元和155亿美元,2020年扩大至约172亿美元,年复合增长率为11.2%。PECVD是薄膜设备中占比最高的设备类型,占整体薄膜沉积设备市场的33%;ALD设备目前占据薄膜沉积设备市场的11%;SACVD是新兴的设备类型,属于其他薄膜沉积设备类目下的产品,占比较小。在整个薄膜沉积设备市场,属于PVD的溅射PVD和电镀ECD合计占有整体市场的23%。

根据国内半导体设备市场占全球市场26.29%的比例和2020年全球薄膜沉积设备 172亿美元市场规模测算,2020年国内薄膜沉积设备市场规模约为45.22亿美元。PECVD和ALD分别占薄膜沉积设备市场比例为33%和11%,2020年国内市场 PECVD市场规模约为14.92亿美元,ALD市场规模约为4.97亿美元。

随着半导体行业整体景气度的提升,全球半导体设备市场呈现快速增长态势,拉动市场对薄膜沉积设备需求的增加。MaximizeMarket Research 预计全球半导体薄膜沉积设备市场规模在2025年将从2020年的172亿美元扩大至340亿美元,保持年复合13.3%的增长速度。

各类薄膜沉积设备投资占比



其他驱动力随着产线的逐渐升级,晶圆厂对薄膜沉积设备数量和性能的需求将继续随之提升,在实现相同芯片制造产能的情况下,对薄膜沉积设备的需求量也将相应增加。



在FLASH存储芯片领域,随着主流制造工艺已由2DNAND 发展为3DNAND结构,结构的复杂化导致对于薄膜沉积设备的需求量逐步增加。根据东京电子披露,薄膜沉积设备占FLASH芯片产线资本开支比例从2D时代的18%增长至3D时代的26%。随着3DNAND FLASH 芯片的内部层数不断增高,对于薄膜沉积设备的需求提升的趋势亦将延续。

3、竞争格局相从全球市场份额来看,薄膜沉积设备行业呈现出高度垄断的竞争局面,行业基本由应用材料(AMAT)、ASMI、泛林半导体(Lam)、东京电子(TEL)等国际巨头垄断。2019年,ALD设备龙头东京电子(TEL)和先晶半导体(ASMI)分别占据了31%和29%的市场份额,剩下40%的份额由其他厂商占据;而应用材料(AMAT)则基本垄断了PVD市场,占85%的比重,处于绝对龙头地位;在CVD市场中,应用材料(AMAT)全球占比约为30%,连同泛林半导体(Lam)的21%和TEL的19%,三大厂商占据了全球70%的市场份额。


三、估值分析

拓荆科技半导体设备,可比公司截止至2022年4月1日、1年前、2年前PS分别为21、26、36倍。假定上市后市销率维持在21倍,以2021年营业收入7.58亿元计算,市值159亿元,对应价格126元。


温馨提醒:用户在赢家聊吧发表的所有资料、言论等仅代表个人观点,与本网站立场无关,不对您构成任何投资建议。本文中出现任何联系方式与本站无关,谨防个人信息,财产资金安全。
点赞13
发表评论
输入昵称或选择经常@的人
聊吧群聊

添加群

请输入验证信息:

你的加群请求已发送,请等候群主/管理员验证。

时价预警 查看详情>
  • 江恩支撑:116.59
  • 江恩阻力:131.16
  • 时间窗口:2024-07-11

数据来自赢家江恩软件>>

本吧详情
吧 主:

虚位以待

副吧主:

暂无

会 员:

0人关注了该股票

功 能:
知识问答 查看详情>