登录 注册 返回主站
F10资料 推荐产品 炒股必读

新思科技、Arm和三星联手加快基于5LPE工艺的新一代Arm处理器开发

  • 作者:走出梦境3
  • 2019-10-17 22:58:51
  • 分享:

加州山景城2019年10月17日 /美通社/ --

重:

  • 三星在采用EUV技术的5LPE工艺上通过了对新思科技Fusion Design Platform 的认证

  • 该解决方案提供功耗、性能和面积优势,加快上市时间

  • 新思科技面向Arm先进内核采用者推出了包括脚本和参考指南在内的新思科技QuickStart设计实现套件(QIK)

新思科技(Synopsys, Inc. , 纳斯达克股票代码:SNPS )近日宣布与Arm和三星积极合作,开发以实现新一代ARM®处理器早期采用的解决方案。该设计就绪的解决方案基于新思科技人工智能增强、云就绪的Fusion Design Platform™以及Arm Artisan®物理IP和POP™ IP,并在三星先进的5LPE工艺上通过了认证,将加速新一波半导体芯片的开发,包括高性能计算(HPC)、汽车、5G和人工智能芯片设计。这项合作将帮助客户优化功耗、性能和面积(PPA),加快上市时间的同时提供全流程的结果品质(QOR)和结果效率(TTR)。

Arm高级副总裁、商务供应链总经理Paul Williamson表示:“为了应对行业的创新步伐,合作伙伴之间的密切合作至关重要。我们与三星和新思科技在这一先进节上所做的工作,可以进一步优化新一代基于Arm设备的功耗和性能。”

三星设计平台开发执行副总裁Jaehong Park表示:“新思科技Fusion 设计平台和QuickStart设计实现套件为新一代Arm处理器,提供设计就绪的解决方案。这是利用三星5LPE技术为设计人员提供高性能CPU设计竞争优势的最佳用例。通过与Arm和新思科技的密切合作,客户能够从我们针对高性能和低功耗市场设计应用的5纳米工艺中获取最大价值。”

新思科技Fusion设计平台已用于优化实现新的Arm内核。Fusion设计平台集成众多新思科技行业领先的产品,包括:

  • 在Fusion Compiler™设计、Design Compiler®图形综合和IC Compiler™ II布局与布线系统中进行7纳米及以下工艺节的设计实现

  • 采用自动密度控制和时序驱动布局获得更高性能

  • 采用全流程并发时钟与数据路径(CCD)优化获得更低功耗

  • Signoff收敛采用PrimeTime ® 基于PBA的带有功耗收复的ECO,和穷尽性PBA以及StarRC™多工艺角同时提取功能

  • 在IC Compiler II中采用RedHawk™ Analysis Fusion signoff驱动的流程实现电源完整性和可靠性优化设计的早期加速

新思科技芯片设计事业部总经理Sassine Ghazi表示:“用户需要值得赖的整体解决方案,以确保产品质量和上市时间。为此,我们使用最新处理器为早期客户实现了利用创新型技术开发新一代产品的能力。业界领导者之间的密切合作为更好、更轻松的设计实现铺平了道路,帮助设计人员满足积极的交付时间表并实现性能、功耗和面积目标。”

上市

用于主要的Arm处理器的QuickStart设计实现套件今天发布,网址:  https://synopsys.com/arm-opto .



温馨提醒:用户在赢家聊吧发表的所有资料、言论等仅代表个人观点,与本网站立场无关,不对您构成任何投资建议。本文中出现任何联系方式与本站无关,谨防个人信息,财产资金安全。
点赞2
发表评论
输入昵称或选择经常@的人
聊吧群聊

添加群

请输入验证信息:

你的加群请求已发送,请等候群主/管理员验证。

时价预警 查看详情>
  • 江恩支撑:3.5
  • 江恩阻力:3.73
  • 时间窗口:2024-04-24

数据来自赢家江恩软件>>

本吧详情
吧 主:

虚位以待

副吧主:

暂无

会 员:

65人关注了该股票

功 能:
知识问答 查看详情>